Part Number Hot Search : 
01762 6143A MCP41100 F2010 C124E PUMH30 ACS71 162373
Product Description
Full Text Search
 

To Download MPC824507 Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
 Freescale Semiconductor
Technical Data
MPC8245EC Rev. 10, 08/2007
MPC8245 Integrated Processor Hardware Specifications
The MPC8245 combines a PowerPCTM MPC603e processor core built on Power ArchitectureTM technology with a PCI bridge so that system designers can rapidly design systems using peripherals designed for PCI and the other standard interfaces. Also, a high-performance memory controller supports various types of ROM and SDRAM. The MPC8245 is the second of a family of products that provide system-level support for industry-standard interfaces with an MPC603e processor core. This hardware specification describes pertinent electrical and physical characteristics of the MPC8245. For functional characteristics of the processor, refer to the MPC8245 Integrated Processor Reference Manual (MPC8245UM). For published errata or updates to this document, visit the website listed on the back cover of the document.
1. 2. 3. 4. 5. 6. 7. 8. 9.
Contents Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3 General Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 Electrical and Thermal Characteristics . . . . . . . . . . . . 5 Package Description . . . . . . . . . . . . . . . . . . . . . . . . . 31 PLL Configurations . . . . . . . . . . . . . . . . . . . . . . . . . 39 System Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44 Document Revision History . . . . . . . . . . . . . . . . . . . 56 Ordering Information . . . . . . . . . . . . . . . . . . . . . . . . 62
1
Overview
The MPC8245 integrated processor is composed of a peripheral logic block and a 32-bit superscalar MPC603e core, as shown in Figure 1.
(c) Freescale Semiconductor, Inc., 2001-2007. All rights reserved.
Overview
MPC8245 Additional Features: * Prog I/O with Watchpoint * JTAG/COP Interface * Power Management
Processor Core Block Processor PLL
(64-Bit) Two-Instruction Fetch Branch Processing Instruction Unit Unit (BPU) (64-Bit) Two-Instruction Dispatch
System Register Unit (SRU)
Integer Unit (IU)
Load/Store Unit (LSU)
FloatingPoint Unit (FPU) 64-Bit
Data MMU 16-Kbyte Data Cache
Instruction MMU 16-Kbyte Instruction Cache
Peripheral Logic Bus
Peripheral Logic Block Message Unit (with I2O) DMA Controller Address (32-Bit) Central Control Unit Performance Monitor I2C I2C Controller PIC Interrupt Controller/ Timers DUART DLL Peripheral Logic PLL Configuration Registers PCI Bus Interface Unit Address Translator PCI Arbiter Data (64-Bit) Data Path ECC Controller
Data Bus (32- or 64-Bit) with 8-Bit Parity or ECC Memory/ROM/ PortX Control/Address
Memory Controller
SDRAM_SYNC_IN SDRAM Clocks PCI_SYNC_IN
5 IRQs/ 16 Serial Interrupts
Watchpoint Facility
Fanout Buffers OSC_IN
PCI Bus Clocks
Five 32-Bit PCI Interface Request/Grant Pairs
Figure 1. MPC8245 Block Diagram
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 2 Freescale Semiconductor
Features
The peripheral logic integrates a PCI bridge, dual universal asynchronous receiver/transmitter (DUART), memory controller, DMA controller, PIC interrupt controller, a message unit (and I2O interface), and an I2C controller. The processor core is a full-featured, high-performance processor with floating-point support, memory management, a 16-Kbyte instruction cache, a 16-Kbyte data cache, and power management features. The integration reduces the overall packaging requirements and the number of discrete devices required for an embedded system. An internal peripheral logic bus interfaces the processor core to the peripheral logic. The core can operate at a variety of frequencies, allowing the designer to trade off performance for power consumption. The processor core is clocked from a separate PLL that is referenced to the peripheral logic PLL. This allows the microprocessor and the peripheral logic block to operate at different frequencies while maintaining a synchronous bus interface. The interface uses a 64- or 32-bit data bus (depending on memory data bus width) and a 32-bit address bus along with control signals that enable the interface between the processor and peripheral logic to be optimized for performance. PCI accesses to the MPC8245 memory space are passed to the processor bus for snooping when snoop mode is enabled. The general-purpose processor core and peripheral logic serve a variety of embedded applications. The MPC8245 can be used as either a PCI host or PCI agent controller.
2
Features
Major features of the MPC8245 are as follows: * Processor core -- High-performance, superscalar processor core -- Integer unit (IU), floating-point unit (FPU) (software enabled or disabled), load/store unit (LSU), system register unit (SRU), and branch processing unit (BPU) -- 16-Kbyte instruction cache -- 16-Kbyte data cache -- Lockable L1 caches--Entire cache or on a per-way basis up to three of four ways -- Dynamic power management: 60x nap, doze, and sleep modes * Peripheral logic -- Peripheral logic bus - Various operating frequencies and bus divider ratios - 32-bit address bus, 64-bit data bus - Full memory coherency - Decoupled address and data buses for pipelining of peripheral logic bus accesses - Store gathering on peripheral logic bus-to-PCI writes -- Memory interface - Up to 2 Gbytes of SDRAM memory - High-bandwidth data bus (32- or 64-bit) to SDRAM - Programmable timing supporting SDRAM - One to eight banks of 16-, 64-, 128-, 256-, or 512-Mbit memory devices
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 3
Features
--
--
--
--
Write buffering for PCI and processor accesses Normal parity, read-modify-write (RMW), or ECC Data-path buffering between memory interface and processor Low-voltage TTL logic (LVTTL) interfaces 272 Mbytes of base and extended ROM/Flash/PortX space Base ROM space for 8-bit data path or same size as the SDRAM data path (32- or 64-bit) Extended ROM space for 8-, 16-, 32-bit gathering data path, 32- or 64-bit (wide) data path PortX: 8-, 16-, 32-, or 64-bit general-purpose I/O port using ROM controller interface with programmable address strobe timing, data ready input signal (DRDY), and 4 chip selects 32-bit PCI interface - Operates up to 66 MHz - PCI 2.2-compatible - PCI 5.0-V tolerance - Dual address cycle (DAC) for 64-bit PCI addressing (master only) - Accesses to PCI memory, I/O, and configuration spaces - Selectable big- or little-endian operation - Store gathering of processor-to-PCI write and PCI-to-memory write accesses - Memory prefetching of PCI read accesses - Selectable hardware-enforced coherency - PCI bus arbitration unit (five request/grant pairs) - PCI agent mode capability - Address translation with two inbound and outbound units (ATU) - Internal configuration registers accessible from PCI Two-channel integrated DMA controller (writes to ROM/PortX not supported) - Direct mode or chaining mode (automatic linking of DMA transfers) - Scatter gathering--Read or write discontinuous memory - 64-byte transfer queue per channel - Interrupt on completed segment, chain, and error - Local-to-local memory - PCI-to-PCI memory - Local-to-PCI memory - PCI memory-to-local memory Message unit - Two doorbell registers - Two inbound and two outbound messaging registers - I2O message interface I2C controller with full master/slave support that accepts broadcast messages
- - - - - - - -
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 4 Freescale Semiconductor
General Parameters
* *
-- Programmable interrupt controller (PIC) - Five hardware interrupts (IRQs) or 16 serial interrupts - Four programmable timers with cascade -- Two (dual) universal asynchronous receiver/transmitters (UARTs) -- Integrated PCI bus and SDRAM clock generation -- Programmable PCI bus and memory interface output drivers System-level performance monitor facility Debug features -- Memory attribute and PCI attribute signals -- Debug address signals -- MIV signal--Marks valid address and data bus cycles on the memory bus -- Programmable input and output signals with watchpoint capability -- Error injection/capture on data path -- IEEE Std 1149.1(R) (JTAG)/test interface
3
General Parameters
The following list summarizes the general parameters of the MPC8245: Technology 0.25-m CMOS, five-layer metal Die size 49.2 mm2 Transistor count 4.5 million Logic design Fully-static Packages Surface-mount 352 tape ball grid array (TBGA) Core power supply 1.7 V to 2.1 V DC for 266 and 300 MHz with the condition that the usage is "nominal" 100 mV where "nominal" is 1.8/1.9/2.0 volts. 1.9 V to 2.2 V DC for 333 and 350 MHz with the condition that the usage is "nominal" 100 mV where "nominal" is 2.0/2.1 volts. See Table 2 for details of recommended operating conditions) I/O power supply 3.0- to 3.6-V DC
4
Electrical and Thermal Characteristics
This section provides the AC and DC electrical specifications and thermal characteristics for the MPC8245.
4.1
DC Electrical Characteristics
This section covers ratings, conditions, and other DC electrical characteristics.
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 5
Electrical and Thermal Characteristics
4.1.1
Absolute Maximum Ratings
The tables in this section describe the MPC8245 DC electrical characteristics. Table 1 provides the absolute maximum ratings.
Table 1. Absolute Maximum Ratings
Characteristic 1 Supply voltage--CPU core and peripheral logic Supply voltage--memory bus drivers Supply voltage--PCI and standard I/O buffers Supply voltage--PLLs Supply voltage--PCI reference Input voltage 2 Operational die-junction temperature range Storage temperature range Symbol VDD GVDD OVDD AV DD/AVDD 2 LVDD Vin Tj Tstg Range -0.3 to 2.25 -0.3 to 3.6 -0.3 to 3.6 -0.3 to 2.25 -0.3 to 5.4 -0.3 to 3.6 0 to 105
3
Unit V V V V V V C C
-55 to 150
Notes: 1. Functional and tested operating conditions are given in Table 2. Absolute maximum ratings are stress ratings only, and functional operation at the maximums is not guaranteed. Stress beyond those listed may affect device reliability or cause permanent damage to the device. 2. PCI inputs with LV DD = 5 V 5% V DC may be correspondingly stressed at voltages exceeding LVDD + 0.5 V DC. 3. Note that this temperature range does not apply to the 400 MHz parts. For details, refer to the hardware specifications addendum MPC8245ECSO2AD.
4.1.2
Recommended Operating Conditions
Table 2 provides the recommended operating conditions for the MPC8245. Some voltage values do not apply to the 400-MHz parts. For details, refer to the hardware specifications addendum MPC8245ECSO2AD.
Table 2. Recommended Operating Conditions1
Characteristic Supply voltage Symbol VDD Recommended Value Unit V V V V V V Notes 4, 7 5, 7 7 9 4, 7, 12 5, 7, 12
1.8/1.9/2.0 V
100 mV
2.0/2.1 V
100 mV I/O buffer supply for PCI and standard Supply voltages for memory bus drivers CPU PLL supply voltage OVDD GVDD AVDD 3.3 0.3 3.3 5%
1.8/1.9/2.0 V 2.0/2.1 V
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 6 Freescale Semiconductor
Electrical and Thermal Characteristics
Table 2. Recommended Operating Conditions1 (continued)
Characteristic PLL supply voltage--peripheral logic Symbol AVDD2 Recommended Value Unit V V V V V V C Notes 4, 7, 12 5, 7, 12 2, 10, 11 3, 10, 11 2, 3 6
1.8/1.9/2.0 V 2.0/2.1 V
PCI reference
LV DD
5.0 5% 3.3 0.3
Input voltage
PCI inputs All other inputs
Vin
0 to 3.6 or 5.75 0 to 3.6
Die-junction temperature
Tj
0 to 105
Notes: 1. These are the recommended and tested operating conditions. Proper device operation outside of these conditions is not guaranteed. 2. PCI pins are designed to withstand LV DD + 5% V DC when LVDD is connected to a 5.0-V DC power supply. 3. PCI pins are designed to withstand LV DD + 0.5 V DC when LVDD is connected to a 3.3-V DC power supply. 4. The voltage supply value of 1.8/1.9/2.0 V 100 mV applies to parts marked as having a maximum CPU speed of 266 and 300 MHz. See Table 7. For each chosen nominal value (1.8/1.9/2.0 V) the supply voltage should not exceed 100 mV of the nominal value. 5. The voltage supply value of 2.0/2.1 V 100 m V applies to parts marked as having a maximum CPU speed of 333 and 350 MHz. See Table 7. For each chosen nominal value (2.0/2.1 V) the supply voltage should not exceed 100 mV of the nominal value. Cautions: 6. Input voltage (Vin) must not be greater than the supply voltage (V DD/AVDD/AVDD2) by more than 2.5 V at all times, including during power-on reset. Input voltage (Vin) must not be greater than GVDD/OVDD by more than 0.6 V at all times, including during power-on reset. 7. OV DD must not exceed VDD/AVDD/AVDD2 by more than 1.8 V at any time, including during power-on reset. This limit may be exceeded for a maximum of 20 ms during power-on reset and power-down sequences. 8. VDD/AVDD/AVDD2 must not exceed OVDD by more than 0.6 V at any time, including during power-on reset. This limit may be exceeded for a maximum of 20 ms during power-on reset and power-down sequences. 9. GV DD must not exceed VDD/AVDD/AVDD2 by more than 1.8 V at any time, including during power-on reset. This limit may be exceeded for a maximum of 20 ms during power-on reset and power-down sequences. 10.LVDD must not exceed VDD/AVDD/AVDD2 by more than 5.4 V at any time, including during power-on reset. This limit may be exceeded for a maximum of 20 ms during power-on reset and power-down sequences. 11. LVDD must not exceed OVDD by more than 3.0 V at any time, including during power-on reset. This limit may be exceeded for a maximum of 20 ms during power-on reset and power-down sequences. 12.This voltage is the input to the filter discussed in Section 7.1, "PLL Power Supply Filtering," and not necessarily the voltage at the AVDD pin, which may be reduced from VDD by the filter.
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 7
Electrical and Thermal Characteristics
Figure 2 shows supply voltage sequencing and separation cautions.
5V DC Power Supply Voltage 11 10 See Note 1
LVDD @ 5 V
3.3 V 2.0 V 8
11 10 7, 9
OVDD/GVDD/(LVDD @ 3.3 V - - - -) VDD/AVDD/AV DD2
VDD Stable
100 s PLL Relock Time 3
0 HRST_CPU, HRST_CTRL Asserted 255 External Memory Clock Cycles 3 PLL
6
Time
Power Supply Ramp Up 2
Reset Configuration Pins Nine External Memory Clock Cycles Setup Time 4 HRST_CPU, HRST_CTRL Maximum Rise Time Must Be Less Than One External Memory Clock Cycle 5 VM = 1.4 V
Notes: 1. Numbers associated with waveform separations correspond to caution numbers listed in Table 2. 2. See the Cautions section of Table 2 for details on this topic. 3. See Table 8 for details on PLL relock and reset signal assertion timing requirements. 4. Refer to Table 10 for additional information on reset configuration pin setup timing requirements. 5. HRST_CPU/HRST_CTRL must transition from a logic 0 to a logic 1 in less than one SDRAM_SYNC_IN clock cycle for the device to be in the nonreset state. 6. PLL_CFG signals must be driven on reset and must be held for at least 25 clock cycles after the negation of HRST_CTRL and HRST_CPU in order to be latched.
Figure 2. Supply Voltage Sequencing and Separation Cautions
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 8 Freescale Semiconductor
Electrical and Thermal Characteristics
Figure 3 shows the undershoot and overshoot voltage of the memory interface.
4V GVDD + 5% GVDD
VIH
VIL
GND GND - 0.3 V GND - 1.0 V Not to Exceed 10% of tSDRAM_CLK
Figure 3. Overshoot/Undershoot Voltage
Figure 4 and Figure 5 show the undershoot and overshoot voltage of the PCI interface for the 3.3- and 5-V signals, respectively.
11 ns (Min) Overvoltage Waveform 0V 4 ns (Max) 62.5 ns +3.6 V Undervoltage Waveform -3.5 V 7.1 V p-to-p (Min)
+7.1 V 7.1 V p-to-p (Min)
4 ns (Max)
Figure 4. Maximum AC Waveforms for 3.3-V Signaling
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 9
Electrical and Thermal Characteristics
11 ns (Min) +11 V Overvoltage Waveform 0V 4 ns (Max) 62.5 ns +5.25 V Undervoltage Waveform -5.5 V 10.75 V p-to-p (Min) 11 V p-to-p (Min)
4 ns (Max)
Figure 5. Maximum AC Waveforms for 5-V Signaling
4.2
DC Electrical Characteristics
Table 3. DC Electrical Specifications
Table 3 provides the DC electrical characteristics for the MPC8245 at recommended operating conditions.
At recommended operating conditions (see Table 2)
Characteristic Input high voltage Input low voltage Input high voltage
Condition 3 PCI only, except PCI_SYNC_IN PCI only, except PCI_SYNC_IN All other pins, including PCI_SYNC_IN (GVDD = 3.3 V) All inputs, including PCI_SYNC_IN
Symbol VIH VIL VIH
Min 0.65 x OVDD -- 2.0
Max LVDD 0.3 x OVDD 3.3
Unit V V V
Notes 1
Input low voltage
VIL IL IL VOH VOL
GND --
0.8 70
V A 4
Input leakage current for pins using 0.5 V Vin 2.7 V DRV_PCI driver @ LVDD = 4.75 V Input leakage current for all others Output high voltage LVDD = 3.6 V GVDD 3.465 V IOH = driver-dependent (GVDD = 3.3 V) IOL = driver-dependent (GVDD = 3.3 V)
--
10
A
4
2.4
--
V
2
Output low voltage
--
0.4
V
2
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 10 Freescale Semiconductor
Electrical and Thermal Characteristics
Table 3. DC Electrical Specifications (continued)
At recommended operating conditions (see Table 2)
Characteristic Capacitance
Condition 3 Vin = 0 V, f = 1 MHz
Symbol Cin
Min --
Max 16.0
Unit pF
Notes
Notes: 1. See Table 16 for pins with internal pull-up resistors. 2. See Table 4 for the typical drive capability of a specific signal pin based on the type of output driver associated with that pin as listed in Table 16. 3. These specifications are for the default driver strengths indicated in Table 4. 4. Leakage current is measured on input and output pins in the high-impedance state. The leakage current is measured for nominal OVDD/LVDD, and V DD or both OVDD/LVDD and VDD must vary in the same direction.
4.2.1
Output Driver Characteristics
Table 4 provides information on the characteristics of the output drivers referenced in Table 16. The values are preliminary estimates from an IBIS model and are not tested.
Table 4. Drive Capability of MPC8245 Output Pins 5
Programmable Output Impedance () 20 (default) 40 DRV_PCI 20 40 (default) DRV_MEM_CTRL DRV_PCI_CLK DRV_MEM_CLK 6 (default) 20 40 GVDD = 3.3 V Supply Voltage OVDD = 3.3 V
Driver Type
IOH 36.6 18.6 12.0 6.1 89.0 36.6 18.6
IOL 18.0 9.2 12.4 6.3 42.3 18.0 9.2
Unit
Notes
DRV_STD_MEM
mA mA mA mA mA mA mA
2, 4, 6 2, 4, 6 1, 3 1, 3 2, 4 2, 4 2, 4
Notes: 1. For DRV_PCI, IOH read from the IBIS listing in the pull-up mode, I(Min) column, at the 0.33-V label by interpolating between the 0.3- and 0.4-V table entries' current values that correspond to the PCI VOH = 2.97 = 0.9 x OVDD (OVDD = 3.3 V) where table entry voltage = OVDD - PCI VOH. 2. For all others with GVDD or OVDD = 3.3 V, IOH read from the IBIS listing in the pull-up mode, I(Min) column, at the 0.9-V table entry that corresponds to the VOH = 2.4 V where table entry voltage = GVDD/OVDD - VOH. 3. For DRV_PCI, IOL read from the IBIS listing in the pull-down mode, I(Min) column, at 0.33 V = PCI VOL = 0 x OVDD (OV DD = 3.3 V) by interpolating between the 0.3- and 0.4-V table entries. 4. For all others with GVDD or OVDD = 3.3 V, IOL read from the IBIS listing in the pull-down mode, I(Min) column, at the 0.4-V table entry. 5. See driver bit details for output driver control register (0x73) in the MPC8245 Integrated Processor Reference Manual. 6. See Chip Errata No. 19 in the MPC8245/MPC8241 RISC Microprocessor Chip Errata.
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 11
Electrical and Thermal Characteristics
4.3
Power Characteristics
Table 5. Power Consumption
PCI Bus Clock/Memory Bus Clock/CPU Clock Frequency (MHz)
Table 5 provides power consumption data for the MPC8245.
Mode 66/66/266 Typical Max--FP Max--INT Doze Nap Sleep 1.7 (1.5) 2.2 (1.9) 1.8 (1.6) 1.1 (1.0) 0.4 (0.4) 0.2 (0.2) 66/133/266 2.0 (1.8) 2.4 (2.1) 2.1 (1.8) 1.4 (1.3) 0.7 (0.7) 0.4 (0.4) 66/66/300 1.8 (1.7) 2.3 (2.0) 2.0 (1.8) 1.2 (1.1) 0.4 (0.4) 0.2 (0.4) 66/100/300 2.0 (1.8) 2.5 (2.2) 2.1 (1.8) 1.4 (1.3) 0.6 (0.6) 0.3 (0.3) 33/83/333 2.0 2.6 2.2 1.4 0.5 0.3 66/133/333 2.3 2.8 2.4 1.6 0.7 0.4 66/100/350 2.2 2.8 2.4 1.5 0.6 0.3
Unit
Notes
W W W W W W
1, 5 1, 2 1, 3 1, 4, 6 1, 4, 6 1, 4, 6
I/O Power Supplies 10 Mode Typ--OVDD Typ--GVDD Min 134 (121) 324 (292) Max 334 (301) 800 (720) Unit mW mW Notes 7, 8 7, 9
Notes: 1. The values include VDD, AVDD, and AVDD2 but do not include I/O supply power. Information on OVDD and GVDD supply power is captured in the I/O power supplies section of this table. Values shown in parenthesis ( ) indicate power consumption at VDD/AVDD/AV DD2 = 1.8 V. 2. Maximum--FP power is measured at VDD = 2.1 V with dynamic power management enabled while running an entirely cache-resident, looping, floating-point multiplication instruction. 3. Maximum--INT power is measured at VDD = 2.1 V with dynamic power management enabled while running entirely cache-resident, looping, integer instructions. 4. Power saving mode maximums are measured at VDD = 2.1 V while the device is in doze, nap, or sleep mode. 5. Typical power is measured at V DD = AVDD = 2.0 V, OV DD = 3.3 V where a nominal FP value, a nominal INT value, and a value where there is a continuous flush of cache lines with alternating ones and zeros on 64-bit boundaries to local memory are averaged. 6. Power saving mode data measured with only two PCI_CLKs and two SDRAM_CLKs enabled. 7. The typical minimum I/O power values were results of the MPC8245 performing cache resident integer operations at the slowest frequency combination of 33:66:200 (PCI:Mem:CPU) MHz. 8. The typical maximum OVDD value resulted from the MPC8245 operating at the fastest frequency combination of 66:100:350 (PCI:Mem:CPU) MHz and performing continuous flushes of cache lines with alternating ones and zeros to PCI memory. 9. The typical maximum GVDD value resulted from the MPC8245 operating at the fastest frequency combination of 66:100:350 (PCI:Mem:CPU) MHz and performing continuous flushes of cache lines with alternating ones and zeros on 64-bit boundaries to local memory. 10.Power consumption of PLL supply pins (AV DD and AVDD2) < 15 mW. Guaranteed by design and not tested.
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 12 Freescale Semiconductor
Electrical and Thermal Characteristics
4.4
Thermal Characteristics
Table 6 provides the package thermal characteristics for the MPC8245. For details, see Section 7.8, "Thermal Management."
Table 6. Thermal Characteristics
Characteristic Junction-to-ambient natural convection (Single-layer board--1s) Junction-to-ambient natural convection (Four-layer board--2s2p) Junction-to-ambient (@200 ft/min) (Single-layer board--1s) Junction-to-ambient (@200 ft/min) (Four layer board--2s2p) Junction-to-board Junction-to-case Junction-to-package top (natural convection) Symbol RJA RJMA RJMA RJMA RJB RJC Value 16.1 Unit C/W Notes 1, 2
12.0
C/W
1, 3
11.6
C/W
1, 3
9.0
C/W
1, 3
4.8 1.8 1.0
C/W C/W C/W
4 5 6
JT
Notes: 1. Junction temperature is a function of die size, on-chip power dissipation, package thermal resistance, mounting site (board) temperature, ambient temperature, airflow, power dissipation of other components on the board, and board thermal resistance. 2. Per SEMI G38-87 and JEDEC JESD51-2 with the single-layer board horizontal. 3. Per JEDEC JESD51-6 with the board horizontal. 4. Thermal resistance between the die and the printed-circuit board per JEDEC JESD51-8. Board temperature is measured on the top surface of the board near the package. 5. Thermal resistance between the die and the case top surface as measured by the cold plate method (MIL SPEC-883 Method 1012.1) with the cold plate used for case temperature. 6. Thermal characterization parameter indicating the temperature difference between the package top and the junction temperature per JEDEC JESD51-2. When Greek letters are not available, the thermal characterization parameter is written as Psi-JT.
4.5
AC Electrical Characteristics
After fabrication, functional parts are sorted by maximum processor core frequency as shown in Table 7 and tested for conformance to the AC specifications for that frequency. The processor core frequency is determined by the bus (PCI_SYNC_IN) clock frequency and the settings of the PLL_CFG[0:4] signals. Parts are sold by maximum processor core frequency. See Section 9, "Ordering Information," for details on ordering parts.
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 13
Electrical and Thermal Characteristics
Table 7 provides the operating frequency information for the MPC8245 at recommended operating conditions (see Table 2) with LVDD = 3.3 V 0.3 V.
Table 7. Operating Frequency 1
266 MHz Characteristic
2, 3
300 MHz
333 MHz
350 MHz Unit
VDD/AVDD/AV DD2 = 1.8/1.9/2.0 V 100 mV 100-266 50-133 100-300 50-100 4 25-66
VDD/AVDD/AVDD2 = 2.0/2.1 V 100 mV 100-333 50-133 100-350 50-100 4
Processor frequency (CPU) Memory bus frequency PCI input frequency
MHz MHz MHz
Notes: 1. For details, refer to the hardware specifications addendum MPC8245ECSO2AD. 2. Caution: The PCI_SYNC_IN frequency and PLL_CFG[0:4] settings must be chosen such that the resulting peripheral logic/memory bus frequency and CPU (core) frequencies do not exceed their respective maximum or minimum operating frequencies. Refer to the PLL_CFG[0:4] signal description in Section 6, "PLL Configurations," for valid PLL_CFG[0:4] settings and PCI_SYNC_IN frequencies. 3. See Table 17 and Table 18 for details on VCO limitations for memory and CPU VCO frequencies of various PLL configurations. 4. No available PLL_CFG[0:4] settings support 133-MHz memory interface operation at 300- and 350-MHz CPU operation, since the multipliers do not allow a 300:133 and 350:133 ratio relation. However, running these parts at slower processor speeds may produce ratios that run above 100 MHz. See Table 17 for the PLL settings.
4.5.1
Clock AC Specifications
Table 8 provides the clock AC timing specifications at recommended operating conditions, as defined in Section 4.5.2, "Input AC Timing Specifications." These specifications are for the default driver strengths indicated in Table 4.
Table 8. Clock AC Timing Specifications
At recommended operating conditions (see Table 2) with LVDD = 3.3 V 0.3 V
Num 1 2, 3 4 5a 5b 7 8a 8b 10 15
Characteristics and Conditions Frequency of operation (PCI_SYNC_IN) PCI_SYNC_IN rise and fall times PCI_SYNC_IN duty cycle measured at 1.4 V PCI_SYNC_IN pulse width high measured at 1.4 V PCI_SYNC_IN pulse width low measured at 1.4 V PCI_SYNC_IN jitter PCI_CLK[0:4] skew (pin-to-pin) SDRAM_CLK[0:3] skew (pin-to-pin) Internal PLL relock time DLL lock range with DLL_EXTEND = 0 (disabled) and normal tap delay; (default DLL mode)
Min 25 -- 40 6 6 -- -- -- --
Max 66 2.0 60 9 9 200 250 190 100 See Figure 7
Unit MHz ns % ns ns ps ps ps s ns
Notes
1
2 2
3 2, 4, 5 6
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 14 Freescale Semiconductor
Electrical and Thermal Characteristics
Table 8. Clock AC Timing Specifications (continued)
At recommended operating conditions (see Table 2) with LVDD = 3.3 V 0.3 V
Num 16 17 19 20 21
Characteristics and Conditions DLL lock range for other modes Frequency of operation (OSC_IN) OSC_IN rise and fall times OSC_IN duty cycle measured at 1.4 V OSC_IN frequency stability
Min
Max
Unit ns MHz ns % ppm
Notes 6
See Figure 8 through Figure 10 25 -- 40 -- 66 5 60 100
7
Notes: 1. Rise and fall times for the PCI_SYNC_IN input are measured from 0.4 to 2.4 V. 2. Specification value at maximum frequency of operation. 3. Pin-to-pin skew includes quantifying the additional amount of clock skew (or jitter) from the DLL besides any intentional skew added to the clocking signals from the variable length DLL synchronization feedback loop, that is, the amount of variance between the internal sys_logic_clk and the SDRAM_SYNC_IN signal after the DLL is locked. While pin-to-pin skew between SDRAM_CLKs can be measured, the relationship between the internal sys_logic_clk and the external SDRAM_SYNC_IN cannot be measured and is guaranteed by design. 4. Relock time is guaranteed by design and characterization. Relock time is not tested. 5. Relock timing is guaranteed by design. PLL-relock time is the maximum amount of time required for PLL lock after a stable VDD and PCI_SYNC_IN are reached during the reset sequence. This specification also applies when the PLL has been disabled and subsequently re-enabled during sleep mode. Also note that HRST_CPU/HRST_CTRL must be held asserted for a minimum of 255 bus clocks after the PLL-relock time during the reset sequence. 6. DLL_EXTEND is bit 7 of the PMC2 register <72>. N is a non-zero integer (see Figure 7 through Figure 10). Tclk is the period of one SDRAM_SYNC_OUT clock cycle in ns. Tloop is the propagation delay of the DLL synchronization feedback loop (PC board runner) from SDRAM_SYNC_OUT to SDRAM_SYNC_IN in ns; 6.25 inches of loop length (unloaded PC board runner) corresponds to approximately 1 ns of delay. For details about how Figure 7 through Figure 10 may be used refer to the Freescale application note AN2164, MPC8245/MPC8241 Memory Clock Design Guidelines, for details on MPC8245 memory clock design. 7. Rise and fall times for the OSC_IN input is guaranteed by design and characterization. OSC_IN input rise and fall times are not tested.
Figure 6 shows the PCI_SYNC_IN input clock timing diagram with the labeled number items listed in Table 8.
1 5a 5b 2 3
PCI_SYNC_IN
VM
VM
VM
VM = Midpoint Voltage (1.4 V)
Figure 6. PCI_SYNC_IN Input Clock Timing Diagram
Figure 7 through Figure 10 show the DLL locking range loop delay vs. frequency of operation. These graphs define the areas of DLL locking for various modes. The gray areas show where the DLL locks.
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 15
Electrical and Thermal Characteristics
Register settings that define each DLL mode are shown in Table 9.
Table 9. DLL Mode Definition
DLL Mode Normal tap delay, No DLL extend Normal tap delay, DLL extend Max tap delay, No DLL extend Max tap delay, DLL extend Bit 2 of Configuration Register at 0x76 0 Bit 7 of Configuration Register at 0x72 0
0
1
1
0
1
1
The DLL_MAX_DELAY bit can lengthen the amount of time through the delay line by increasing the time between each of the 128 tap points in the delay line. Although this increased time makes it easier to guarantee that the reference clock is within the DLL lock range, there may be slightly more jitter in the output clock of the DLL; that is, the phase comparator shifts the clock between adjacent tap points. Refer to the Freescale application note AN2164, MPC8245/MPC8241 Memory Clock Design Guidelines: Part 1, for details on DLL modes and memory design. The value of the current tap point after the DLL locks can be determined by reading bits 6-0 (DLL_TAP_COUNT) of the DLL tap count register (DTCR, located at offset 0xE3). These bits store the value (binary 0 through 127) of the current tap point and can indicate whether the DLL advances or decrements as it maintains the DLL lock. Therefore, for evaluation purposes, DTCR can be read for all DLL modes that support the Tloop value used for the trace length of SDRAM_SYNC_OUT to SDRAM_SYNC_IN. The DLL mode with the smallest tap point value in the DTCR should be used because the bigger the tap point value, the more jitter that can be expected for clock signals. Note that keeping a DLL mode that is locked below tap point decimal 12 is not recommended.
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 16 Freescale Semiconductor
Electrical and Thermal Characteristics
30
27.5
25
Tclk SDRAM_SYNC_OUT Period (ns)
22.5
20
17.5
15
12.5
10
7.5 0 1 2 3 4 5 Tloop Propagation Delay Time (ns)
Figure 7. DLL Locking Range Loop Delay Versus Frequency of Operation for DLL_Extend=0 and Normal Tap Delay
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 17
Electrical and Thermal Characteristics
30
27.5
25
Tclk SDRAM_SYNC_OUT Period (ns)
22.5
20
17.5
15
12.5
10
7.5 0 1 2 3 4 5 Tloop Propagation Delay Time (ns)
Figure 8. DLL Locking Range Loop Delay Versus Frequency of Operation for DLL_Extend=1 and Normal Tap Delay
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 18 Freescale Semiconductor
Electrical and Thermal Characteristics
30
27.5
25
Tclk SDRAM_SYNC_OUT Period (ns)
22.5
20
17.5
15
12.5
10
7.5 0 1 2 3 4 5 Tloop Propagation Delay Time (ns)
Figure 9. DLL Locking Range Loop Delay Versus Frequency of Operation for DLL_Extend=0 and Max Tap Delay
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 19
Electrical and Thermal Characteristics
30
27.5
25
Tclk SDRAM_SYNC_OUT Period (ns)
22.5
20
17.5
15
12.5
10
7.5 0 1 2 3 4 5 Tloop Propagation Delay Time (ns)
Figure 10. DLL Locking Range Loop Delay Versus Frequency of Operation for DLL_Extend=1 and Max Tap Delay
4.5.2
Input AC Timing Specifications
Table 10 provides the input AC timing specifications at recommended operating conditions (see Table 2) with LVDD = 3.3 V 0.3 V.
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 20 Freescale Semiconductor
Electrical and Thermal Characteristics
Table 10. Input AC Timing Specifications
Num 10a 10b 10b0 10b1 10b2 10b3 10c 10d 10e 11 11a 11a0 11a1 11a2 11a3 11b 11c Characteristic PCI input signals valid to PCI_SYNC_IN (input setup) Memory input signals valid to sys_logic_clk (input setup) Tap 0, register offset <0x77>, bits 5-4 = 0b00 Tap 1, register offset <0x77>, bits 5-4 = 0b01 Tap 2, register offset <0x77>, bits 5-4 = 0b10 (default) Tap 3, register offset <0x77>, bits 5-4 = 0b11 PIC, misc. debug input signals valid to sys_logic_clk (input setup) I2C input signals valid to sys_logic_clk (input setup) Mode select inputs valid to HRST_CPU/HRST_CTRL (input setup) Tos--SDRAM_SYNC_IN to sys_logic_clk offset time sys_logic_clk to memory signal inputs invalid (input hold) Tap 0, register offset <0x77>, bits 5-4 = 0b00 Tap 1, register offset <0x77>, bits 5-4 = 0b01 Tap 2, register offset <0x77>, bits 5-4 = 0b10 (default) Tap 3, register offset <0x77>, bits 5-4 = 0b11 HRST_CPU/HRST_CTRL to mode select inputs invalid (input hold) PCI_SYNC_IN to Inputs invalid (input hold) 0 0.7 1.4 2.1 0 1.0 -- -- -- -- -- -- ns ns 2, 3, 5 1, 2, 3 ns 2, 3, 6 2.6 1.9 1.2 0.5 3.0 3.0 9 x tCLK 0.4 -- -- -- -- -- -- -- 1.0 ns ns ns ns 2, 3 2, 3 2, 3-5 7 ns 2, 3, 6 Min 3.0 Max -- Unit ns Notes 1, 3
Notes: 1. All PCI signals are measured from OVDD/2 of the rising edge of PCI_SYNC_IN to 0.4 x OVDD of the signal in question for 3.3-V PCI signaling levels. See Figure 12. 2. All memory and related interface input signal specifications are measured from the TTL level (0.8 or 2.0 V) of the signal in question to the VM = 1.4 V of the rising edge of the memory bus clock, sys_logic_clk. sys_logic_clk is the same as PCI_SYNC_IN in 1:1 mode but is twice the frequency in 2:1 mode (processor/memory bus clock rising edges occur on every rising and falling edge of PCI_SYNC_IN). See Figure 11. 3. Input timings are measured at the pin. 4. tCLK is the time of one SDRAM_SYNC_IN clock cycle. 5. All mode select input signals specifications are measured from the TTL level (0.8 or 2.0 V) of the signal in question to the VM = 1.4 V of the rising edge of the HRST_CPU/HRST_CTRL signal. See Figure 13. 6. The memory interface input setup and hold times are programmable to four possible combinations by programming bits 5-4 of register offset <0x77> to select the desired input setup and hold times. 7. Tos represents a timing adjustment for SDRAM_SYNC_IN with respect to sys_logic_clk. Due to the internal delay present on the SDRAM_SYNC_IN signal with respect to the sys_logic_clk inputs to the DLL, the resulting SDRAM clocks become offset by the delay amount. To maintain phase-alignment of the memory clocks with respect to sys_logic_clk, the feedback trace length of SDRAM_SYNC_OUT to SDRAM_SYNC_IN must be shortened to accommodate this range. The feedback trace length is relative to the SDRAM clock output trace lengths. We recommend that the length of SDRAM_SYNC_OUT to SDRAM_SYNC_IN be shortened by 0.7 ns because that is the midpoint of the range of Tos and allows the impact from the range of Tos to be reduced. Additional analyses of trace lengths and SDRAM loading must be performed to optimize timing. For details on trace measurements and the problem of Tos, refer to the Freescale application note AN2164, MPC8245/MPC8241 Memory Clock Design Guidelines.
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 21
Electrical and Thermal Characteristics
Figure 11 and Figure 12 show the input/output timing diagrams referenced to SDRAM_SYNC_IN and PCI_SYNC_IN, respectively.
PCI_SYNC_IN VM
sys_logic_clk
Tos
VM
VM
VM
SDRAM_SYNC_IN (after DLL locks) Shown in 2:1 Mode
VM
10b-d 11a 12b-d 13b 14b
2.0 V Memory Inputs/Outputs
2.0 V
Output Timing Notes: VM = Midpoint voltage (1.4 V). 10b-d = Input signals valid timing. 11a = Input hold time of SDRAM_SYNC_IN to memory. 12b-d = sys_logic_clk to output valid timing. 13b = Output hold time for non-PCI signals. 14b = SDRAM-SYNC_IN to output high-impedance timing for non-PCI signals. Tos = Offset timing required to align sys_logic_clk with SDRAM_SYNC_IN. The SDRAM_SYNC_IN signal is adjusted by the DLL to accommodate for internal delay. This causes SDRAM_SYNC_IN to appear before sys_logic_clk once the DLL locks.
Input Timing
0.8 V
0.8 V
Figure 11. Input/Output Timing Diagram Referenced to SDRAM_SYNC_IN
PCI_SYNC_IN
OVDD / 2
OVDD / 2
OVDD / 2
10a
12a
13a 14a
11c
PCI Inputs/Outputs
0.615 x OVDD 0.4 x OVDD 0.285 x OVDD
Input Timing
Output Timing
Figure 12. Input/Output Timing Diagram Referenced to PCI_SYNC_IN
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 22 Freescale Semiconductor
Electrical and Thermal Characteristics
Figure 13 shows the input timing diagram for mode select signals.
HRST_CPU/HRST_CTRL VM
10e
11b
Mode Pins
2.0 V 0.8 V VM = Midpoint Voltage (1.4 V)
Figure 13. Input Timing Diagram for Mode Select Signals
4.5.3
Output AC Timing Specification
Table 11 provides the processor bus AC timing specifications for the MPC8245 at recommended operating conditions (see Table 2) with LVDD = 3.3 V 0.3 V. See Figure 11 for the input/output timing diagram referenced to sys_logic_clk. All output timings assume a purely resistive 50- load (see Figure 14 for the AC test load for the MPC8245). Output timings are measured at the pin; time-of-flight delays must be added for trace lengths, vias, and connectors in the system. These specifications are for the default driver strengths indicated in Table 4.
Table 11. Output AC Timing Specifications
Num 12a 12a0 12a1 12a2 12a3 12b 12c 12d 12e 13a 13a0 13a1 13a2 13a3 13b 14a Characteristic PCI_SYNC_IN to output valid, see Figure 15 Tap 0, PCI_HOLD_DEL=00, [MCP,CKE] = 11, 66 MHz PCI (default) Tap 1, PCI_HOLD_DEL=01, [MCP,CKE] = 10 Tap 2, PCI_HOLD_DEL=10, [MCP,CKE] = 01, 33 MHz PCI Tap 3, PCI_HOLD_DEL=11, [MCP,CKE] = 00 sys_logic_clk to output valid (memory control, address, and data signals) sys_logic_clk to output valid (for all others) sys_logic_clk to output valid (for C) sys_logic_clk to output valid (ROM/Flash/PortX) Output hold (PCI), see Figure 15 Tap 0, PCI_HOLD_DEL=00, [MCP,CKE] = 11, 66-MHz PCI (default) Tap 1, PCI_HOLD_DEL=01, [MCP,CKE] = 10 Tap 2, PCI_HOLD_DEL=10, [MCP,CKE] = 01, 33-MHz PCI Tap 3, PCI_HOLD_DEL=11, [MCP,CKE] = 00 Output hold (all others) PCI_SYNC_IN to output high impedance (for PCI) 2.0 2.5 3.0 3.5 1.0 -- -- -- -- -- -- 14.0 ns ns 2 1, 3 ns 1, 3, 4 I2 -- -- -- -- -- -- -- -- 6.0 6.5 7.0 7.5 4.0 7.0 5.0 6.0 ns ns ns ns 2 2 2 2 ns 1, 3 Min Max Unit Notes
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 23
Electrical and Thermal Characteristics
Table 11. Output AC Timing Specifications (continued)
Num 14b Characteristic sys_logic_clk to output high impedance (for all others) Min -- Max 4.0 Unit ns Notes 2
Notes: 1. All PCI signals are measured from GV DD/2 of the rising edge of PCI_SYNC_IN to 0.285 x OVDD or 0.615 x OVDD of the signal in question for 3.3 V PCI signaling levels. See Figure 12. 2. All memory and related interface output signal specifications are specified from the VM = 1.4 V of the rising edge of the memory bus clock, sys_logic_clk to the TTL level (0.8 or 2.0 V) of the signal in question. sys_logic_clk is the same as PCI_SYNC_IN in 1:1 mode, but is twice the frequency in 2:1 mode (processor/memory bus clock rising edges occur on every rising and falling edge of PCI_SYNC_IN). See Figure 11. 3. PCI bused signals are composed of the following signals: LOCK, IRDY, C/BE[3:0], PAR, TRDY, FRAME, STOP, DEVSEL, PERR, SERR, AD[31:0], REQ[4:0], GNT[4:0], IDSEL, and INTA. 4. To meet minimum output hold specifications relative to PCI_SYNC_IN for both 33- and 66-MHz PCI systems, the MPC8245 has a programmable output hold delay for PCI signals (the PCI_SYNC_IN to output valid timing is also affected). The initial value of the output hold delay is determined by the values on the MCP and CKE reset configuration signals; the values on these two signals are inverted and stored as the initial settings of PCI_HOLD_DEL = PMCR2[5, 4] (power management configuration register 2 <0x72>), respectively. Since MCP and CKE have internal pull-up resistors, the default value of PCI_HOLD_DEL after reset is 0b00. Further output hold delay values are available by programming the PCI_HOLD_DEL value of the PMCR2 configuration register. Figure 15 shows the PCI_HOLD_DEL effect on output valid and hold times.
Figure 14 provides the AC test load for the MPC8245.
Output Measurements are Made at the Device Pin Output Z0 = 50 OVDD/2 for PCI GVDD/2 for Memory
RL = 50
Figure 14. AC Test Load for the MPC8245
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 24 Freescale Semiconductor
Electrical and Thermal Characteristics
Figure 15 provides the PCI_HOLD_DEL effect on output valid and hold times.
PCI_SYNC_IN
12a2, 7.0 ns for 33 MHz PCI PCI_HOLD_DEL = 10
OVDD/2
OVDD /2
13a2, 2.1 ns for 33-MHz PCI PCI_HOLD_DEL = 10
PCI Inputs/Outputs 33 MHz PCI
12a0, 6.0 ns for 66 MHz PCI PCI_HOLD_DEL = 00
13a0, 1 ns for 66-MHz PCI PCI_HOLD_DEL = 00
PCI Inputs/Outputs 66 MHz PCI
As PCI_HOLD_DEL Values Decrease
PCI Inputs and Outputs
As PCI_HOLD_DEL Values Increase
Note: Diagram not to scale.
Output Valid
Output Hold
Figure 15. PCI_HOLD_DEL Effect on Output Valid and Hold Times
4.6
I2C
This section describes the DC and AC electrical characteristics for the I2C interfaces of the MPC8245.
4.6.1
I2C DC Electrical Characteristics
Table 12. I2C DC Electrical Characteristics
Table 12 provides the DC electrical characteristics for the I2C interfaces.
At recommended operating conditions with OVDD of 3.3 V 5%.
Parameter Input high voltage level Input low voltage level Low-level output voltage
Symbol VIH VIL VOL
Min 0.7 x OVDD -0.3 0
Max OVDD + 0.3 0.3 x OVDD 0.2 x OVDD
Unit V V V
Notes
1
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 25
Electrical and Thermal Characteristics
Table 12. I2C DC Electrical Characteristics
At recommended operating conditions with OVDD of 3.3 V 5%.
Pulse width of spikes which must be suppressed by the input filter Input current each I/O pin (input voltage is between 0.1 x OVDD and 0.9 x OVDD(max) Capacitance for each I/O pin
tI2KHKL II CI
0 -10 --
50 10 10
ns A pF
2 3
Notes: 1. Output voltage (open drain or open collector) condition = 3 mA sink current. 2. Refer to the MPC8245 Integrated Processor Reference Manual for information on the digital filter used. 3. I/O pins obstruct the SDA and SCL lines if the OVDD is switched off.
4.6.2
I2C AC Electrical Specifications
Table 13. I2C AC Electrical Specifications
Table 13 provides the AC timing parameters for the I2C interfaces.
All values refer to VIH (min) and VIL (max) levels (see Table 12).
Parameter SCL clock frequency Low period of the SCL clock High period of the SCL clock Setup time for a repeated START condition Hold time (repeated) START condition (after this period, the first clock pulse is generated) Data setup time Data input hold time: CBUS compatible masters I2C bus devices Data output delay time: Set-up time for STOP condition Bus free time between a STOP and START condition Noise margin at the LOW level for each connected device (including hysteresis)
Symbol 1 fI2C tI2CL tI2CH
4 4 4 4
Min 0 1.3 0.6 0.6 0.6 100 -- 02
Max 400 -- -- -- -- -- -- -- 0.93 -- -- --
Unit kHz s s s s ns s
tI2SVKH tI2SXKL
tI2DVKH 4 tI2DXKL
tI2OVKL tI2PVKH tI2KHDX VNL
-- 0.6 1.3 0.1 x OVDD
s s V
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 26 Freescale Semiconductor
Electrical and Thermal Characteristics
Table 13. I2C AC Electrical Specifications (continued)
All values refer to VIH (min) and VIL (max) levels (see Table 12).
Parameter Noise margin at the HIGH level for each connected device (including hysteresis)
Symbol 1 VNH
Min 0.2 x OVDD
Max --
Unit V
Note: 1. The symbols used for timing specifications follow the pattern of t(first two letters of functional block)(signal)(state) (reference)(state) for inputs and t(first two letters of functional block)(reference)(state)(signal)(state) for outputs. For example, tI2DVKH symbolizes I2C timing (I2) with respect to the time data input signals (D) reach the valid state (V) relative to the tI2C clock reference (K) going to the high (H) state or setup time. Also, tI2SXKL symbolizes I2C timing (I2) for the time that the data with respect to the start condition (S) went invalid (X) relative to the tI2C clock reference (K) going to the low (L) state or hold time. Also, tI2PVKH symbolizes I2C timing (I2) for the time that the data with respect to the stop condition (P) reaching the valid state (V) relative to the tI2C clock reference (K) going to the high (H) state or setup time. For rise and fall times, the latter convention is used with the appropriate letter: R (rise) or F (fall). 2. As a transmitter, the MPC8245 provides a delay time of at least 300 ns for the SDA signal (referred to as the Vihmin of the SCL signal) to bridge the undefined region of the falling edge of SCL to avoid unintended generation of Start or Stop condition. When the MPC8245acts as the I2C bus master while transmitting, it drives both SCL and SDA. As long as the load on SCL and SDA is balanced, the MPC8245 does not cause the unintended generation of a Start or Stop condition. Therefore, the 300 ns SDA output delay time is not a concern. If, under some rare condition, the 300 ns SDA output delay time is required for the MPC8245 as transmitter, the following setting is recommended for the FDR bit field of the I2CFDR register to ensure both the desired I2C SCL clock frequency and SDA output delay time are achieved. It is assumed that the desired I2C SCL clock frequency is 400 KHz and the digital filter sampling rate register (DFFSR bits in I2CFDR) is programmed with its default setting of 0x10 (decimal 16): SDRAM Clock Frequency 100 MHz 133 MHz FDR Bit Setting 0x00 0x2A Actual FDR Divider Selected 384 896 2 Actual I C SCL Frequency Generated 260.4 KHz 148.4 KHz For details on I2C frequency calculation, refer to the application note AN2919 "Determining the I2C Frequency Divider Ratio for SCL". 3. The maximum tI2DXKL has only to be met if the device does not stretch the LOW period (tI2CL) of the SCL signal. 4. Guaranteed by design.
Figure 16 provides the AC test load for the I2C.
Output Z0 = 50 RL = 50 OVDD/2
Figure 16. I2C AC Test Load
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 27
Electrical and Thermal Characteristics
Figure 17 shows the AC timing diagram for the I2C bus.
SDA tI2CF tI2CL SCL tI2SXKL S tI2CH tI2DXKL,tI2OVKL tI2SVKH Sr tI2PVKH P S tI2DVKH tI2SXKL tI2KHKL tI2CR tI2CF
Figure 17. I2C Bus AC Timing Diagram
4.7
PIC Serial Interrupt Mode AC Timing Specifications
Table 14 provides the PIC serial interrupt mode AC timing specifications for the MPC8245 at recommended operating conditions (see Table 2) with GVDD = 3.3 V 5% and LVDD = 3.3 V 0.3 V.
Table 14. PIC Serial Interrupt Mode AC Timing Specifications
Num 1 2 3 4 5 6 7 Characteristic S_CLK frequency S_CLK duty cycle S_CLK output valid time Output hold time S_FRAME, S_RST output valid time S_INT input setup time to S_CLK S_INT inputs invalid (hold time) to S_CLK Min 1/14 SDRAM_SYNC_IN 40 -- 0 -- 1 sys_logic_clk period + 2 -- Max 1/2 SDRAM_SYNC_IN 60 6 -- 1 sys_logic_clk period + 6 -- 0 Unit MHz % ns ns ns ns ns Notes 1 -- -- -- 2 2 2
Notes: 1. See the MPC8245 Integrated Processor Reference Manual for a description of the PIC interrupt control register (ICR) and S_CLK frequency programming. 2. S_RST, S_FRAME, and S_INT shown in Figure 18 and Figure 19, depict timing relationships to sys_logic_clk and S_CLK and do not describe functional relationships between S_RST, S_FRAME, and S_INT. The MPC8245 Integrated Processor Reference Manual describes the functional relationships between these signals. 3. The sys_logic_clk waveform is the clocking signal of the internal peripheral logic from the output of the peripheral logic PLL; sys_logic_clk is the same as SDRAM_SYNC_IN when the SDRAM_SYNC_OUT to SDRAM_SYNC_IN feedback loop is implemented and the DLL is locked. See the MPC8245 Integrated Processor Reference Manual for a complete clocking description.
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 28 Freescale Semiconductor
Electrical and Thermal Characteristics
sys_logic_clk
VM
VM
VM
3
4
S_CLK
VM
VM
5
4
S_FRAME VM S_RST VM
Figure 18. PIC Serial Interrupt Mode Output Timing Diagram
S_CLK
6
VM
7
S_INT
Figure 19. PIC Serial Interrupt Mode Input Timing Diagram
4.8
IEEE 1149.1 (JTAG) AC Timing Specifications
Table 15 provides the JTAG AC timing specifications for the MPC8245 while in the JTAG operating mode at recommended operating conditions (see Table 2) with LVDD = 3.3 V 0.3 V. Timings are independent of the system clock (PCI_SYNC_IN).
Table 15. JTAG AC Timing Specification (Independent of PCI_SYNC_IN)
Num Characteristic TCK frequency of operation 1 2 3 4 5 6 7 8 9 10 TCK cycle time TCK clock pulse width measured at 1.5 V TCK rise and fall times TRST setup time to TCK falling edge TRST assert time Input data setup time Input data hold time TCK to output data valid TCK to output high impedance TMS, TDI data setup time Min 0 40 20 0 10 10 5 15 0 0 5 Max 25 -- -- 3 -- -- -- -- 30 30 -- Unit MHz ns ns ns ns ns ns ns ns ns ns 2 2 3 3 1 Notes
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 29
Electrical and Thermal Characteristics
Table 15. JTAG AC Timing Specification (Independent of PCI_SYNC_IN) (continued)
Num 11 12 13 TMS, TDI data hold time TCK to TDO data valid TCK to TDO high impedance Characteristic Min 15 0 0 Max -- 15 15 Unit ns ns ns Notes
Notes: 1. TRST is an asynchronous signal. The setup time is for test purposes only. 2. Nontest (other than TDI and TMS) signal input timing with respect to TCK. 3. Nontest (other than TDO) signal output timing with respect to TCK.
Figure 20 through Figure 23 show the different timing diagrams.
1 2 2
TCK
3 3
VM
VM
VM
VM = Midpoint Voltage
Figure 20. JTAG Clock Input Timing Diagram
TCK
4
TRST
5
Figure 21. JTAG TRST Timing Diagram
TCK
6 7
Data Inputs
8
Input Data Valid
Data Outputs
9
Output Data Valid
Data Outputs
Figure 22. JTAG Boundary Scan Timing Diagram
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 30 Freescale Semiconductor
Package Description
TCK
10 11
TDI, TMS
12
Input Data Valid
TDO
13
Output Data Valid
TDO
Figure 23. Test Access Port Timing Diagram
5
5.1
Package Description
Package Parameters
This section details package parameters, pin assignments, and dimensions.
The MPC8245 uses a 35 mm x 35 mm, cavity-up, 352-pin tape ball grid array (TBGA) package. The package parameters are as follows. Package Outline 35 mm x 35 mm Interconnects 352 Pitch 1.27 mm Solder Balls ZU (TBGA package)--62 Sn/36 Pb/2 Ag VV (Lead-free version of package)--95.5 Sn/4.0 Ag/0.5 Cu Solder Ball Diameter 0.75 mm Maximum Module Height 1.65 mm Co-Planarity Specification 0.15 mm Maximum Force 6.0 lbs. total, uniformly distributed over package (8 grams/ball)
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 31
Package Description
5.2
Pin Assignments and Package Dimensions
Figure 24 shows the top surface, side profile, and pinout of the MPC8245, 352 TBGA package.
-F-
CORNER
B
-E- 0.150 T
-T-
A
MIN A B C
Top View Dot on top indicates corner of A1 pin on bottom
MAX 35.2 35.2 1.65 .90
34.8 34.8 1.45 .60
D G H
1.27 BASIC .85 .95
26 24 22 20 18 16 14 12 10 8 6 4 2 25 23 21 19 17 15 13 11 9 7 5 3 1 A C E G J L N R U W B D F H K M P T V K
K L
31.75 BASIC .50 .70
Y AA AB AC AD AE AF Bottom View 352X D K G
C H L
Notes: 1. Drawing not to scale. 2. All measurements are in millimeters (mm).
Figure 24. MPC8245 Package Dimensions and Pinout Assignments
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 32 Freescale Semiconductor
Package Description
5.3
Pinout Listings
Table 16. MPC8245 Pinout Listing
Name Pin Numbers Type PCI Interface Signals Power Supply Output Driver Type Notes
Table 16 provides the pinout listing for the MPC8245, 352 TBGA package.
C/BE[3:0] DEVSEL FRAME IRDY LOCK AD[31:0]
P25 K23 F23 A25 H26 J24 K25 J26 V25 U25 U26 U24 U23 T25 T26 R25 R26 N26 N25 N23 M26 M25 L25 L26 F24 E26 E25 E23 D26 D25 C26 A26 B26 A24 B24 D19 B23 B22 D22 C22 G25 W25 W24 W23 V26 W26 Y25 AA26 AA25 AB26 Y26 G26 F26 H25 K26 AC26 P26
I/O I/O I/O I/O Input I/O
OVDD OVDD OVDD OVDD OVDD OVDD
DRV_PCI DRV_PCI DRV_PCI DRV_PCI -- DRV_PCI
6, 15 8, 15 8, 15 8, 15 8 6, 15
PAR GNT[3:0] GNT4/DA5 REQ[3:0] REQ4/DA4 PERR SERR STOP TRDY INTA IDSEL
I/O Output Output Input I/O I/O I/O I/O I/O Output Input Memory Interface Signals
OVDD OVDD OVDD OVDD OVDD OVDD OVDD OVDD OVDD OVDD OVDD
DRV_PCI DRV_PCI DRV_PCI -- -- DRV_PCI DRV_PCI DRV_PCI DRV_PCI DRV_PCI --
15 6, 15 7, 15, 14 6, 12 12, 14 8, 15, 18 8, 15, 16 8, 15 8, 15 10, 15, 16
MDL[0:31]
AD17 AE17 AE15 AF15 AC14 AE13 AF13 AF12 AF11 AF10 AF9 AD8 AF8 AF7 AF6 AE5 B1 A1 A3 A4 A5 A6 A7 D7 A8 B8 A10 D10 A12 B11 B12 A14 AC17 AF16 AE16 AE14 AF14 AC13 AE12 AE11 AE10 AE9 AE8 AC7 AE7 AE6 AF5 AC5 E4 A2 B3 D4 B4 B5 D6 C6 B7 C9 A9 B10 A11 A13 B13 A15
I/O
GVDD
DRV_STD_MEM
5, 6
MDH[0:31]
I/O
GVDD
DRV_STD_MEM
6
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 33
Package Description
Table 16. MPC8245 Pinout Listing (continued)
Name DQM[0:7] CS[0:7] FOE RCS0 RCS1 RCS2/TRIG_IN RCS3/TRIG_OUT SDMA[1:0] SDMA[11:2] DRDY SDMA12/SRESET SDMA13/TBEN SDMA14/ CHKSTOP_IN SDBA1 SDBA0 PAR[0:7] SDRAS SDCAS CKE WE AS Pin Numbers AB1 AB2 K3 K2 AC1 AC2 K1 J1 Y4 AA3 AA4 AC4 M2 L2 M1 L1 H1 N4 N2 AF20 AC18 W1 W2 N1 R1 R2 T1 T2 U4 U2 U1 V1 V3 B20 B16 B14 D14 Type Output Output I/O Output Output I/O Output I/O Output Input I/O I/O I/O Power Supply GVDD GVDD GVDD GVDD GVDD OVDD GVDD GVDD GVDD OVDD GVDD GVDD GVDD GVDD GVDD GVDD GVDD GVDD GVDD GVDD GVDD Output Driver Type DRV_MEM_CTRL DRV_MEM_CTRL DRV_MEM_CTRL DRV_MEM_CTRL DRV_MEM_CTRL 6 ohms DRV_MEM_CTRL DRV_MEM_CTRL DRV_MEM_CTRL -- DRV_MEM_CTRL DRV_MEM_CTRL DRV_MEM_CTRL 10, 14 14 3, 4, 6 6 9, 10 10, 14 10, 14 10, 14 Notes 6 6 3, 4 3, 4
P1 P2 AF3 AE3 G4 E2 AE4 AF4 D2 C2 AD1 AD2 H2 AA1 Y1
Output Output I/O Output Output Output Output Output PIC Control Signals
DRV_MEM_CTRL DRV_MEM_CTRL DRV_STD_MEM DRV_MEM_CTRL DRV_MEM_CTRL DRV_MEM_CTRL DRV_MEM_CTRL DRV_MEM_CTRL 3, 4 6 3 3 3, 4
IRQ0/S_INT IRQ1/S_CLK IRQ2/S_RST IRQ3/S_FRAME IRQ4/L_INT
C19 B21 AC22 AE24 A23
Input I/O I/O I/O I/O I2C Control Signals
OVDD OVDD OVDD OVDD OVDD
-- DRV_PCI DRV_PCI DRV_PCI DRV_PCI
SDA SCL
AE20 AF21
I/O I/O
OVDD OVDD
DRV_STD_MEM DRV_STD_MEM
10, 16 10, 16
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 34 Freescale Semiconductor
Package Description
Table 16. MPC8245 Pinout Listing (continued)
Name Pin Numbers Type DUART Control Signals SOUT1/PCI_CLK0 SIN1/PCI_CLK1 SOUT2/RTS1/ PCI_CLK2 SIN2/CTS1/ PCI_CLK3 AC25 AB25 AE26 Output I/O Output GVDD GVDD GVDD GVDD DRV_MEM_CTRL DRV_MEM_CTRL DRV_MEM_CTRL 13, 14 13, 14, 26 13, 14 Power Supply Output Driver Type Notes
AF25
I
DRV_MEM_CTRL
13, 14, 26
Clock-Out Signals PCI_CLK0/SOUT1 PCI_CLK1/SIN1 PCI_CLK2/RTS1/ SOUT2 PCI_CLK3/CTS1/ SIN2 PCI_CLK4/DA3 PCI_SYNC_OUT PCI_SYNC_IN SDRAM_CLK [0:3] AC25 AB25 AE26 Output Output Output GVDD GVDD GVDD GVDD GVDD GVDD GVDD GVDD DRV_PCI_CLK DRV_PCI_CLK DRV_PCI_CLK 13, 14 13, 14, 26 13, 14
AF25
Output
DRV_PCI_CLK
13, 14, 26
AF26 AD25 AB23 D1 G1 G2 E1
Output Output Input Output
DRV_PCI_CLK DRV_PCI_CLK -- DRV_MEM_CTRL or DRV_MEM_CLK DRV_MEM_CTRL or DRV_MEM_CLK -- DRV_STD_MEM --
13, 14
6, 21
SDRAM_SYNC_OUT C1
Output
GVDD
21
SDRAM_SYNC_IN CKO/DA1 OSC_IN
H3 B15 AD21
Input Output Input Miscellaneous Signals
GVDD OVDD OVDD
14 19
HRST_CTRL HRST_CPU MCP NMI SMI SRESET/SDMA12 TBEN/SDMA13
A20 A19 A17 D16 A18 B16 B14
Input Input Output Input Input I/O I/O
OVDD OVDD OVDD OVDD OVDD GVDD GVDD
-- -- DRV_STD_MEM -- -- DRV_MEM_CTRL DRV_MEM_CTRL
27 27 3, 4, 17
10 10, 14 10, 14
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 35
Package Description
Table 16. MPC8245 Pinout Listing (continued)
Name QACK/DA0 CHKSTOP_IN/ SDMA14 TRIG_IN/RCS2 TRIG_OUT/RCS3 MAA[0:2] MIV PMAA[0:1] PMAA[2] F2 D14 Pin Numbers Type Output I/O Power Supply OVDD GVDD OVDD GVDD GVDD OVDD OVDD OVDD Output Driver Type DRV_STD_MEM DRV_MEM_CTRL Notes 4, 14, 25 10, 14
AF20 AC18 AF2 AF1 AE1 A16 AD18 AF18 AE19
I/O Output Output Output Output Output Test/Configuration Signals
-- DRV_MEM_CTRL DRV_STD_MEM -- DRV_STD_MEM DRV_STD_MEM
10, 14 14 3, 4, 6 24 3, 4, 6, 15 4, 6, 15
PLL_CFG[0:4]/ DA[10:6] TEST0 RTC TCK TDI TDO TMS TRST
A22 B19 A21 B18 B17
I/O
OVDD OVDD GVDD OVDD OVDD OVDD OVDD OVDD
DRV_STD_MEM
6, 14, 20
AD22 Y2 AF22 AF23 AC21 AE22 AE23
Input Input Input Input Output Input Input Power and Ground Signals
-- -- -- -- -- -- --
1, 9 11 9, 12 9, 12 24 9, 12 9, 12
GND
AA2 AA23 AC12 AC15 AC24 AC3 AC6 AC9 AD11 AD14 AD16 AD19 AD23 AD4 AE18 AE2 AE21 AE25 B2 B25 B6 B9 C11 C13 C16 C23 C4 C8 D12 D15 D18 D21 D24 D3 F25 F4 H24 J25 J4 L24 L3 M23 M4 N24 P3 R23 R4 T24 T3 V2 V23 W3 AC20 AC23 D20 D23 G23 P23 Y23 AB3 AB4 AC10 AC11 AC8 AD10 AD13 AD15 AD3 AD5 AD7 C10 C12 C3 C5 C7 D13 D5 D9 E3 G3 H4 K4 L4 N3 P4 R3 U3 V4 Y3 AB24 AD20 AD24 C14 C20 C24 E24 G24 J23 K24 M24 P24 T23 Y24
Ground
--
--
LVDD
Reference voltage 3.3 V, 5.0 V Power for memory drivers 3.3 V PCI/Stnd 3.3 V
LVDD
--
GV DD
GVDD
--
OV DD
OVDD
--
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 36 Freescale Semiconductor
Package Description
Table 16. MPC8245 Pinout Listing (continued)
Name VDD Pin Numbers AA24 AC16 AC19 AD12 AD6 AD9 C15 C18 C21 D11 D8 F3 H23 J3 L23 M3 R24 T4 V24 W4 D17 C17 Type Power for core 1.8/2.0 V -- Power for PLL (CPU core logic) 1.8/2.0 V Power for PLL (peripheral logic) 1.8/2.0 V Debug/Manufacturing Pins DA0/QACK DA1/CKO DA2 DA3/PCI_CLK4 DA4/REQ4 DA5/GNT4 DA[10:6]/ PLL_CFG[0:4] DA[11] DA[12:13] F2 B15 C25 AF26 Y26 W26 A22 B19 A21 B18 B17 Output Output Output Output I/O Output I/O OVDD OVDD OVDD GVDD OVDD OVDD OVDD OVDD OVDD DRV_STD_MEM DRV_STD_MEM DRV_PCI DRV_PCI_CLK -- DRV_PCI DRV_STD_MEM 4, 10, 25 14 2 14 12, 14 7, 15, 14 6, 14, 20 Power Supply VDD Output Driver Type -- Notes 22
No Connect AV DD
-- AVDD
-- --
23 22
AV DD2
AF24
AVDD2
--
22
AD26 AF17 AF19
Output Output
DRV_PCI DRV_STD_MEM
2 2, 6
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 37
Package Description
Table 16. MPC8245 Pinout Listing (continued)
Name DA[14:15] F1 J2 Pin Numbers Type Output Power Supply GVDD Output Driver Type DRV_MEM_CTRL Notes 2, 6
Notes: 1. Place a pull-up resistor of 120 or less on the TEST0 pin. 2. Treat these pins as no connects (NC) unless debug address functionality is used. 3. This pin has an internal pull-up resistor that is enabled only in the reset state. The value of the internal pull-up resistor is not guaranteed but is sufficient to ensure that a logic 1 is read into configuration bits during reset if the signal is left unterminated. 4. This pin is a reset configuration pin. 5. DL[0] is a reset configuration pin with an internal pull-up resistor that is enabled only in the reset state. The value of the internal pull-up resistor is not guaranteed but is sufficient to ensure that a logic 1 is read into configuration bits during reset. 6. Multi-pin signals such as AD[31:0] and MDL[0:31] have their physical package pin numbers listed in an order corresponding to the signal names. Example: AD0 is on pin C22, AD1 is on pin D22, ..., AD31 is on pin V25. 7. GNT4 is a reset configuration pin with an internal pull-up resistor that is enabled only in the reset state. 8. A weak pull-up resistor (2-10 k) should be placed on this PCI control pin to LVDD. 9. VIH and V IL for these signals are the same as the PCI VIH and VIL entries in Table 3. 10. A weak pull-up resistor (2-10 k) should be placed on this pin to OVDD. 11. A weak pull-up resistor (2-10 k) should be placed on this pin to GVDD. 12. This pin has an internal pull-up resistor that is enabled at all times. The value of the internal pull-up resistor is not guaranteed but is sufficient to prevent unused inputs from floating. 13. An external PCI clocking source or fan-out buffer may be required for the MPC8245 DUART functionality since PCI_CLK[0:3] are not available in DUART mode. Only PCI_CLK4 is available in DUART mode. 14. This pin is a multiplexed signal and appears more than once in this table. 15. This pin is affected by the programmable PCI_HOLD_DEL parameter. 16. This pin is an open-drain signal. 17. This pin can be programmed as driven (default) or as open-drain (in MIOCR 1). 18. This pin is a sustained three-state pin as defined by the PCI Local Bus Specification. 19. OSC_IN uses the 3.3-V PCI interface driver, which is 5-V tolerant. See Table 2 for details. 20. PLL_CFG signals must be driven on reset and must be held for at least 25 clock cycles after the negation of HRST_CTRL and HRST_CPU in order to be latched. 21. SDRAM_CLK[0:3] and SDRAM_SYNC_OUT signals use DRV_MEM_CTRL for chip Rev 1.1 (A). These signals use DRV_MEM_CLK for chip Rev 1.2 (B). 22. The 266- and 300-MHz part offerings can run at a source voltage of 1.8 100 mV or 2.0 100 mV. Source voltage should be 2.0 100 mV for 333- and 350-MHz parts. 23. This pin is LAVDD on the MPC8240. It is an NC on the MPC8245, which should not pose a problem when an MPC8240 is replaced with an MPC8245. 24. The driver capability of this pin is hardwired to 40 and cannot be changed. 25. A weak pull-up resistor (2-10 k) should be placed on this pin to OVDD so that a 1 can be detected at reset if an external memory clock is not used and PLL[0:4] does not select a half-clock frequency ratio. 26. Typically, the serial port has sufficient drivers in the RS232 transceiver to drive the CTS pin actively as an input. No pullups are needed in this case. 27. HRST_CPU/HRST_CTRL must transition from a logic 0 to a logic 1 in less than one SDRAM_SYNC_IN clock cycle for the device to be in the nonreset state
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 38 Freescale Semiconductor
PLL Configurations
6
PLL Configurations
The internal PLLs are configured by the PLL_CFG[0:4] signals. For a given PCI_SYNC_IN (PCI bus) frequency, the PLL configuration signals set both the peripheral logic/memory bus PLL (VCO) frequency of operation for the PCI-to-memory frequency multiplying and the MPC603e CPU PLL (VCO) frequency of operation for memory-to-CPU frequency multiplying. The PLL configurations are shown in Table 17 and Table 18.
Table 17. PLL Configurations (266- and 300-MHz Parts)
266-MHz Part 9 PCI Clock Input (PCI_ SYNC_IN) Range 1 (MHz) 25-355 25-295 50 -59
18 5,7
300-MHz Part 9 PCI Clock Input (PCI_ SYNC_IN) Range 1 (MHz) 25-405,7 25-335 50 -66
18 1
Multipliers
Ref. No.
PLL_CFG [0:4] 10,13
Periph Logic/ MemBus Clock Range (MHz) 75-105 75-88 50-59 50-66 50-92 Bypass
CPU Clock Range (MHz) 188-263 225-264 225-266 100-133 100-184
Periph Logic/ MemBus Clock Range (MHz) 75-120 75-99 50-66 50-66 50-92 Bypass
CPU Clock Range (MHz) 188-300 225-297 225-297 100-133 100-184
PCI-toMem (Mem VCO) 3 (2) 3 (2) 1 (4) 1 (Bypass) 2 (4)
Mem-toCPU (CPU VCO) 2.5 (2) 3 (2) 4.5 (2) 2 (4) 2 (4)
0 1 2 3 4 6 7 Rev B 7 Rev D 8 9 A B C D E F 10 11 12
0000012 0000112 00010
11
0001111,14 00100
12
5017-661 25-46
4
5017-661 25-46
4
0011015 00111
14
Bypass 180-198 1 (Bypass) 3 (2)
60 -66
6
1
60-66
180-198
60 -66
6
1
60-66
0011114 0100012 01001
12
Not available 606-661 45 -66
6 1
60-66 90-132 50-58 68-88 72-92 68-75 60-88 75 90-132 100-106 90-99
180-198 180-264 225-261 204-264 180-230 238-263 180-264 263 180-264 250-266 180-198
606-661 45 -66
6 1
60-66 90-132 50-66 68-99 72-92 68-85 60-92 75-85 90-132 100-116 90-99
180-198 180-264 225-297 204-297 180-230 238-298 180-276 263-298 180-264 250-290 180-198
1 (4) 2 (2) 2 (4) 1.5 (2) 2 (4) 1.5 (2) 2 (4) 3 (2) 3 (2) 4 (2) 1.5 (2)
3 (2) 2 (2) 4.5 (2) 3 (2) 2.5 (2) 3.5 (2) 3 (2) 3.5 (2) 2 (2) 2.5 (2) 2 (2)
0101012 01011
12
25-295 45 -59
3 5
25-335 45 -66
3 1
0110012 01101
12
366-464 45 -50
3 5
366-464 45 -57
3 5
0111012 01111
12
306-445 25
5
306-464 25-28
5
1000012 10001
12
306-442,5 25-26
5,7
306-442 25-29
2
1001012
606-661
606-661
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 39
PLL Configurations
Table 17. PLL Configurations (266- and 300-MHz Parts) (continued)
266-MHz Part 9 PCI Clock Input (PCI_ SYNC_IN) Range 1 (MHz) Periph Logic/ MemBus Clock Range (MHz) Not available 26 -38
6 5
300-MHz Part 9 PCI Clock Input (PCI_ SYNC_IN) Range 1 (MHz) 252,7 182-266 26 -42
6 5
Multipliers
Ref. No.
PLL_CFG [0:4] 10,13
CPU Clock Range (MHz)
Periph Logic/ MemBus Clock Range (MHz) 100 52-84 68-75 50-74 100-132 68-100 72-118 50-66 68-100 66-99 72-99 Not usable
CPU Clock Range (MHz) 300 182-294 272-300 200-296 200-264 204-300 180-295 200-264 204-300 198-297 180-248
PCI-toMem (Mem VCO) 4 (2) 2 (4) 2.5 (2) 2 (4) 4 (2) 2.5 (2) 2 (2) 1 (4) 2 (2) 1.5 (2) 1.5 (2) Off
Mem-toCPU (CPU VCO) 3 (2) 3.5 (2) 4 (2) 4 (2) 2 (2) 3 (2) 2.5 (2) 4 (2) 3 (2) 3 (2) 2.5 (2) Off 3.5(2)
13 14 15 16 17 18 19 1A 1B 1C 1D 1E Rev B 1E Rev D
1001112 10100
12
52-76 Not available
1010112 10110
12
273-305,7 200-264 200-264 204-264 180-265 200-264 204-264 198-264 180-248 25-37
5
25-33
5
50-66 100-132 68-88 72-106 50-66 68-88 66-88 72-99 Not usable
1011112 11000
12
25-335 27 -35
3 5
25-332 27 -40
3 5,7
1100112 11010
12
366-535 50 -66
18 1
366-592 50 -66
18 1
1101112 11100
12
343-445 44 -59
3 5
343-505,7 44 -66
3 1
1110112 11110
8
486-661
486-661
11110
333-385
66-76
231-266
333-425
66-84
231-294
2(2)
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 40 Freescale Semiconductor
PLL Configurations
Table 17. PLL Configurations (266- and 300-MHz Parts) (continued)
266-MHz Part 9 PCI Clock Input (PCI_ SYNC_IN) Range 1 (MHz) Periph Logic/ MemBus Clock Range (MHz) Not usable 300-MHz Part 9 PCI Clock Input (PCI_ SYNC_IN) Range 1 (MHz) Periph Logic/ MemBus Clock Range (MHz) Not usable Multipliers
Ref. No.
PLL_CFG [0:4] 10,13
CPU Clock Range (MHz)
CPU Clock Range (MHz)
PCI-toMem (Mem VCO) Off
Mem-toCPU (CPU VCO) Off
1F
111118
Notes: 1. Limited by the maximum PCI input frequency (66 MHz). 2 Limited by the maximum system memory interface operating frequency (100 MHz @ 300 MHz CPU). 3. Limited by the minimum memory VCO frequency (133 MHz). 4. Limited due to the maximum memory VCO frequency (372 MHz). 5. Limited by the maximum CPU operating frequency. 6. Limited by the minimum CPU VCO frequency (360 MHz). 7. Limited by the maximum CPU VCO frequency (maximum marked CPU speed X 2). 8. In clock-off mode, no clocking occurs inside the MPC8245, regardless of the PCI_SYNC_IN input. 9. Range values are rounded down to the nearest whole number (decimal place accuracy removed). 10. PLL_CFG[0:4] settings not listed are reserved. 11. Multiplier ratios for this PLL_CFG[0:4] setting differ from the MPC8240 and are not backward-compatible. 12. PCI_SYNC_IN range for this PLL_CFG[0:4] setting differs from or does not exist on the MPC8240 and may not be fully backward-compatible. 13. Bits 7-4 of register offset <0xE2> contain the PLL_CFG[0:4] setting value. 14. In PLL bypass mode, the PCI_SYNC_IN input signal clocks the internal processor directly, the peripheral logic PLL is disabled, and the bus mode is set for 1:1 (PCI:Mem) mode operation. This mode is for hardware modeling. The AC timing specifications in this document do not apply in PLL bypass mode. 15. In dual PLL bypass mode, the PCI_SYNC_IN input signal clocks the internal peripheral logic directly, the peripheral logic PLL is disabled, and the bus mode is set for 1:1 (PCI_SYNC_IN:Mem) mode operation. In this mode, the OSC_IN input signal clocks the internal processor directly in 1:1 (OSC_IN:CPU) mode operation, and the processor PLL is disabled. The PCI_SYNC_IN and OSC_IN input clocks must be externally synchronized. This mode is for hardware modeling. The AC timing specifications in this document do not apply in dual PLL bypass mode. 16. Limited by the maximum system memory interface operating frequency (133 MHz @ 266 MHz CPU). 17. Limited by the minimum CPU operating frequency (100 MHz). 18. Limited by the minimum memory bus frequency (50 MHz).
Table 18. PLL Configurations (333- and 350-MHz Parts)
333 MHz Part 9 PCI Clock Periph Input Logic/Mem (PCI_ Bus Clock SYNC_IN) Range 1 Range (MHz) (MHz) 25-4416 25-375,7 75-132 75-111 350 MHz Part 9 PCI Clock Periph Input Logic/Mem (PCI_ Bus Clock SYNC_IN) Range 1 Range (MHz) (MHz) 25-4416 25-385 75-132 75-114 Multipliers
Ref
PLL_ CFG[0:4] 10,13
CPU Clock Range (MHz)
CPU Clock Range (MHz)
PCI-toMem (Mem VCO)
Mem-toCPU (CPU VCO)
0 1
0000012 0000112
188-330 225-333
188-330 225-342
3 (2) 3 (2)
2.5 (2) 3 (2)
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 41
PLL Configurations
Table 18. PLL Configurations (333- and 350-MHz Parts) (continued)
333 MHz Part 9 PCI Clock Periph Input Logic/Mem (PCI_ Bus Clock SYNC_IN) Range 1 Range (MHz) (MHz) 5018-661 5017-661 25-46
4
350 MHz Part 9 PCI Clock Periph Input Logic/Mem (PCI_ Bus Clock SYNC_IN) Range 1 Range (MHz) (MHz) 5018-661 5017-661 25-46
4
Multipliers
Ref
PLL_ CFG[0:4] 10,13
CPU Clock Range (MHz)
CPU Clock Range (MHz)
PCI-toMem (Mem VCO)
Mem-toCPU (CPU VCO)
2 3 4 6 7 Rev B 7 Rev D 8 9 A B C D E F 10 11 12 13 14 15 16 17 18 19 1A 1B 1C 1D
0001011 0001111,14 00100
12
50-66 50-66 50-92 Bypass
225-297 100-133 100-184
50-66 50-66 50-92 Bypass
225-297 100-133 100-184
1 (4) 1 (Bypass) 2 (4)
4.5 (2) 2 (4) 2 (4)
0011015 00111
14
Bypass 180-198 350 180-198 180-264 225-342 204-297 180-230 238-347 180-276 263-347 180-264 250-330 180-198 300-348 182-329 272-340 200-344 200-264 204-345 180-330 200-264 204-348 198-297 180-248 1 (Bypass) 4(2) 1 (4) 2 (2) 2 (4) 1.5 (2) 2 (4) 1.5 (2) 2 (4) 3 (2) 3 (2) 4 (2) 1.5 (2) 4 (2) 2 (4) 2.5 (2) 2 (4) 4 (2) 2.5 (2) 2 (2) 1 (4) 2 (2) 1.5 (2) 1.5 (2) 3 (2) 3.5(2) 3 (2) 2 (2) 4.5 (2) 3 (2) 2.5 (2) 3.5 (2) 3 (2) 3.5 (2) 2 (2) 2.5 (2) 2 (2) 3 (2) 3.5 (2) 4 (2) 4 (2) 2 (2) 3 (2) 2.5 (2) 4 (2) 3 (2) 3 (2) 2.5(2)
60 -66
6
1
60-66 Not available
180-198
60 -66 25
6
1
60-66 100 60-66 90-132 50-76 68-99 72-92 68-99 60-92 75-99 90-132 100-132 90-99 100-116 52-94 68-85 50-86 100-132 68-115 72-132 50-66 68-116 66-99 72-99
0011114 0100012 0100112 01010 01011
12
606-661 456-661 25-37
3 5,7 1
60-66 90-132 50-74 68-99 72-92 68-95 60-92 75-93 90-132 100-132 90-99 100-108 52-94 68-83 50-82 100-132 68-110 72-132 50-66 68-110 66-99 72-99
180-198 180-264 225-333 204-297 180-230 238-333 180-276 263-326 180-264 250-330 180-198 300-324 182-329 272-332 200-328 200-264 204-330 180-330 200-264 204-330 198-297 180-248
606-661 456-661 25-38
3 5 1
12
45 -66
45 -66
0110012 01101
12
366-464 45 -63
3 5,7
366-464 45 -66
3 1
0111012 0111112 10000
12
306-464 25-315 30 -44
6 2
306-464 25-335 30 -44
6 2
1000112 10010 10011
12
25-332,16 60 -66 25-27
6 1
25-332,16 60 -66 25-29
6 1
12
5
5
1010012 10101
12
266-474 27 -33
3 5
266-474 27 -34
3 5
1011012 1011112 11000
12
25-415 25-332 27 -44
3 5
25-435 25-332 27 -46
3 5
1100112 11010 11011
12
366-661 50 -66 34 -55
3 18 1
366-661 50 -66 34 -58
3 18 1
12
5
5
1110012 11101
12
443-661 48 -66
6 1
443-661 48 -66
6 1
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 42 Freescale Semiconductor
PLL Configurations
Table 18. PLL Configurations (333- and 350-MHz Parts) (continued)
333 MHz Part 9 PCI Clock Periph Input Logic/Mem (PCI_ Bus Clock SYNC_IN) Range 1 Range (MHz) (MHz) Not usable 333-475 66-94 Not usable 231-329 333-502,5,7 350 MHz Part 9 PCI Clock Periph Input Logic/Mem (PCI_ Bus Clock SYNC_IN) Range 1 Range (MHz) (MHz) Not usable 66-100 Not usable 231-350 Multipliers
Ref
PLL_ CFG[0:4] 10,13
CPU Clock Range (MHz)
CPU Clock Range (MHz)
PCI-toMem (Mem VCO)
Mem-toCPU (CPU VCO)
1E Rev B 1E Rev D 1F
111108 11110 111118
Off 2(2) Off
Off 3.5(2) Off
Notes: 1. Limited by the maximum PCI input frequency (66 MHz). 2. Limited by the maximum system memory interface operating frequency (100 MHz @ 350 MHz CPU). 3. Limited by the minimum memory VCO frequency (132 MHz). 4. Limited due to the maximum memory VCO frequency (372 MHz). 5. Limited by the maximum CPU operating frequency. 6. Limited by the minimum CPU VCO frequency (360 MHz). 7. Limited by the maximum CPU VCO frequency (Maximum marked CPU speed X 2). 8. In clock-off mode, no clocking occurs inside the MPC8245, regardless of the PCI_SYNC_IN input. 9. Range values are rounded down to the nearest whole number (decimal place accuracy removed). 10. PLL_CFG[0:4] settings not listed are reserved. 11. Multiplier ratios for this PLL_CFG[0:4] setting differ from or do not exist on the MPC8240 and are not backward-compatible. 12. PCI_SYNC_IN range for this PLL_CFG[0:4] setting differs from the MPC8240 and may not be fully backward-compatible. 13. Bits 7-4 of register offset <0xE2> contain the PLL_CFG[0:4] setting value. 14. In PLL bypass mode, the PCI_SYNC_IN input signal clocks the internal processor directly, the peripheral logic PLL is disabled, and the bus mode is set for 1:1 (PCI:Mem) mode operation. This mode is for hardware modeling. The AC timing specifications in this document do not apply in PLL bypass mode. 15. In dual PLL bypass mode, the PCI_SYNC_IN input signal clocks the internal peripheral logic directly, the peripheral logic PLL is disabled, and the bus mode is set for 1:1 (PCI_SYNC_IN:Mem) mode operation. In this mode, the OSC_IN input signal clocks the internal processor directly in 1:1 (OSC_IN:CPU) mode operation, and the processor PLL is disabled. The PCI_SYNC_IN and OSC_IN input clocks must be externally synchronized. This mode is for hardware modeling. The AC timing specifications in this document do not apply in dual PLL bypass mode. 16. Limited by the maximum system memory interface operating frequency (133 MHz @ 333 MHz CPU). 17. Limited by the minimum CPU operating frequency (100 MHz). 18. Limited by the minimum memory bus frequency (50 MHz).
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 43
System Design
7
System Design
This section provides electrical and thermal design recommendations for successful application of the MPC8245.
7.1
PLL Power Supply Filtering
The AVDD and AVDD2 power signals on the MPC8245 provide power to the peripheral logic/memory bus PLL and the MPC603e processor PLL. To ensure stability of the internal clocks, the power supplied to the AVDD and AVDD2 input signals should be filtered of any noise in the 500-kHz to 10-MHz resonant frequency range of the PLLs. Two separate circuits similar to the one shown in Figure 25 using surface mount capacitors with minimum effective series inductance (ESL) is recommended for AVDD and AVDD2 power signal pins. Consistent with the recommendations of Dr. Howard Johnson in High Speed Digital Design: A Handbook of Black Magic (Prentice Hall, 1993), using multiple small capacitors of equal value is recommended over using multiple values. Place the circuits as closely as possible to the respective input signal pins to minimize noise coupled from nearby circuits. Routing from the capacitors to the input signal pins should be as direct as possible with minimal inductance of vias.
10 VDD 2.2 F 2.2 F Low ESL Surface Mount Capacitors AVDD or AV DD2
GND
Figure 25. PLL Power Supply Filter Circuit
7.2
Decoupling Recommendations
Due to its dynamic power management feature, large address and data buses, and high operating frequencies, the MPC8245 can generate transient power surges and high frequency noise in its power supply, especially while driving large capacitive loads. This noise must be prevented from reaching other components in the MPC8245 system, and the MPC8245 itself requires a clean, tightly regulated source of power. Therefore, place at least one decoupling capacitor at each VDD, OVDD, GVDD, and LVDD pin. These decoupling capacitors should receive their power from dedicated power planes in the PCB, with short traces to minimize inductance. These capacitors should have a value of 0.1 F. Only ceramic SMT (surface mount technology) capacitors should be used to minimize lead inductance, preferably 0508 or 0603, oriented such that connections are made along the length of the part. In addition, several bulk storage capacitors should be distributed around the PCB, feeding the VDD, OVDD, GVDD, and LVDD planes, to enable quick recharging of the smaller chip capacitors. These bulk capacitors should have a low ESR (equivalent series resistance) rating to ensure the quick response time necessary. They should also be connected to the power and ground planes through two vias to minimize inductance. Suggested bulk capacitors: 100-330 F (AVX TPS tantalum or Sanyo OSCON).
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 44 Freescale Semiconductor
System Design
7.3
Connection Recommendations
To ensure reliable operation, connect unused inputs to an appropriate signal level. Tie unused active-low inputs to OVDD. Connect unused active-high inputs tie to GND. All NC signals must remain unconnected. Power and ground connections must be made to all external VDD, OVDD, GVDD, LVDD, and GND pins. The PCI_SYNC_OUT signal is to be routed halfway out to the PCI devices and returned to the PCI_SYNC_IN input of the MPC8245. The SDRAM_SYNC_OUT signal is to be routed halfway out to the SDRAM devices and then returned to the SDRAM_SYNC_IN input of the MPC8245. The trace length can be used to skew or adjust the timing window as needed. See the Tundra Tsi107TM Design Guide (AN1849) and Freescale application notes AN2164, MPC8245/MPC8241 Memory Clock Design Guidelines: Part 1 and AN2746, MPC8245/MPC8241 Memory Clock Design Guidelines: Part 2 for details. Note that there is an SDRAM_SYNC_IN to PCI_SYNC_IN time requirement (refer to Table 10 for the input AC timing specifications).
7.4
Pull-Up/Pull-Down Resistor Requirements
The data bus input receivers are normally turned off when no read operation is in progress; therefore, they do not require pull-up resistors on the bus. The data bus signals are: MDH[0:31], MDL[0:31], and PAR[0:7]. If the 32-bit data bus mode is selected, the input receivers of the unused data and parity bits (MDL[0:31] and PAR[4:7]) are disabled, and their outputs drive logic zeros when they would otherwise normally be driven. For this mode, these pins do not require pull-up resistors and should be left unconnected to minimize possible output switching. The TEST0 pin requires a pull-up resistor of 120 or less connected to OVDD. RTC should have weak pull-up resistors (2-10 k) connected to GVDD. The following signals should be pulled up to OVDD with weak pull-up resistors (2-10 k): SDA, SCL, SMI, SRESET/SDMA12, TBEN/SDMA13, CHKSTOP_IN/SDMA14, TRIG_IN/RCS2, INTA, QACK/DA0 and DRDY. Note that QACK/DA0 should be left without a pull-up resistor only if an external clock is used because this signal enables internal clock flipping logic when it is low on reset, which is necessary when the PLL[0:4] signals select a half-clock frequency ratio and an external PLL is used to drive the SDRAM device. It is recommended that the following PCI control signals be pulled up to LVDD (the clamping voltage) with weak pull-up resistors (2-10 k): DEVSEL, FRAME, IRDY, LOCK, PERR, SERR, STOP, and TRDY. The resistor values may need to be adjusted stronger to reduce induced noise on specific board designs. The following pins have internal pull-up resistors enabled at all times: REQ[3:0], REQ4/DA4, TCK, TDI, TMS, and TRST. See Table 16. The following pins have internal pull-up resistors enabled only while device is in the reset state: GNT4/DA5, MDL0, FOE, RCS0, SDRAS, SDCAS, CKE, AS, MCP, MAA[0:2], and PMAA[0:2]. See Table 16.
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 45
System Design
The following pins are reset configuration pins: GNT4/DA5, MDL[0], FOE, RCS0, CKE, AS, MCP, QACK/DA0, MAA[0:2], PMAA[0:2], SDMA[1:0], MDH[16:31], and PLL_CFG[0:4]/DA[10:15]. These pins are sampled during reset to configure the device. The PLL_CFG[0:4] signals are sampled a few clocks after the negation of HRST_CPU and HRST_CTRL. Reset configuration pins should be tied to GND via 1-k pull-down resistors to ensure a logic 0 level is read into the configuration bits during reset if the default logic 1 level is not desired. Any other unused active low input pins should be tied to a logic-one level through weak pull-up resistors (2-10 k) to the appropriate power supply listed in Table 16. Unused active high input pins should be tied to GND through weak pull-down resistors (2-10 k).
7.5
PCI Reference Voltage--LVDD
The MPC8245 PCI reference voltage (LVDD) pins should be connected to a 3.3 0.3 V power supply if interfacing the MPC8245 into a 3.3-V PCI bus system. Similarly, the LVDD pins should be connected to a 5.0 V 5% power supply if interfacing the MPC8245 into a 5-V PCI bus system. For either reference voltage, the MPC8245 always performs 3.3-V signaling as described in the PCI Local Bus Specification (Rev. 2.2). The MPC8245 tolerates 5-V signals when interfaced into a 5-V PCI bus system.
7.6
MPC8245 Compatibility with MPC8240
The MPC8245 AC timing specifications are backward-compatible with those of the MPC8240, except for the requirements of item 11 in Table 10. Timing adjustments are needed as specified for Tos (SDRAM_SYNC_IN to sys_logic_clk offset) time requirements. The MPC8245 does not support the SDRAM flow-through memory interface. The nominal core VDD power supply changes from 2.5 V on the MPC8240 to 1.8/2.0 V on the MPC8245. See Table 2. For example, the MPC8245 PLL_CFG[0:4] setting 0x02 (0b00010) has a different PCI-to-Mem and Mem-to-CPU multiplier ratio than the same setting on the MPC8240, so it is not backward-compatible. See Table 17. Most of the MPC8240 PLL_CFG[0:4] settings are subsets of the PCI_SYNC_IN input frequency range accepted by the MPC8245. However, the parts are not fully backward-compatible since the ranges of the two parts do not always match. Modes 0x8 and 0x18 of the MPC8245 are not compatible with settings 0x8 and 0x18 on the MPC8240. See Table 17 and Table 18. Two reset configuration signals on the MPC8245 are not used as reset configuration signals on the MPC8240: SDMA0 and SDMA1. The SDMA0 reset configuration pin selects between the MPC8245 DUART and the MPC8240 backward-compatible mode PCI_CLK[0:4] functionality on these multiplexed signals. The default state (logic 1) of SDMA0 selects the MPC8240 backward-compatible mode of PCI_CLK[0:4] functionality while a logic 0 state on the SDMA0 signal selects DUART functionality. In DUART mode, four of the five PCI clocks, PCI_CLK[0:3], are not available. The SDMA1 reset configuration pin selects between MPC8245 extended ROM functionality and MPC8240 backward-compatible functionality on the multiplexed signals: TBEN, CHKSTOP_IN,
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 46 Freescale Semiconductor
System Design
SRESET, TRIG_IN, and TRIG_OUT. The default state (logic 1) of SDMA1 selects the MPC8240 backward-compatible mode functionality, while a logic 0 state on the SDMA1 signal selects extended ROM functionality. In extended ROM mode, the TBEN, CHKSTOP_IN, SRESET, TRIG_IN, and TRIG_OUT functionalities are not available. The driver names and pin capability of the MPC8245 and the MPC8240 differ slightly. Refer to the drive capability table (for the ODCR register at 0x73) in the MPC8240 Integrated Processor Hardware Specifications and Table 4. The programmable PCI output valid and output hold feature controlled by bits in the power management configuration register 2 (PMCR2) <0x72> differs slightly in the MPC8245. For the MPC8240, three bits, PMCR2[6:4] = PCI_HOLD_DEL, are used to select 1 of 8 possible PCI output timing configurations. PMCR2[6:5] are software-controllable but are initially set by the reset configuration state of the MCP and CKE signals, respectively. Software can change PMCR2[4]. The default configuration for PMCR2[6:4] = 0b110 since the MCP and CKE signals have internal pull-up resistors, but this default configuration does not select 33- or 66-MHz PCI operation output timing parameters for the MPC8240. Software makes this selection. For the MPC8245, only two bits in the power management configuration register 2 (PMCR2), PMCR2[5:4] = PCI_HOLD_DEL, control the variable PCI output timing. PMCR2[5:4] are software controllable but are initially set by the inverted reset configuration state of the MCP and CKE signals, respectively. The default configuration for PMCR2[5:4] = 0b00 since the MCP and CKE signals have internal pull-up resistors and the values from these signals are inverted; this default configuration selects 66-MHz PCI operation output timing parameters. There are four programmable PCI output timing configurations on the MPC8245. See Table 11. Voltage sequencing requirements for the MPC8245 are similar to those for the MPC8240, with two exceptions in the MPC8245. In the MPC8245, the non-PCI input voltages (Vin) must not be greater than GVDD or OVDD by more than 0.6 V at all times, including during power-on reset (see Caution 5 in Table 2). Second, LVDD must not exceed OVDD by more than 3.0 V at any time, including during power-on reset (see Caution 10 in Table 2); the allowable separation between LVDD and OVDD is 3.6 V for the MPC8240. There is no LAVDD input voltage supply signal on the MPC8245 since the SDRAM clock delay-locked loop (DLL) has power supplied internally. Signal D17 should be treated as a NC for the MPC8245. Application note AN2128 highlights the differences between the MPC8240 and the MPC8245.
7.7
JTAG Configuration Signals
Boundary scan testing is enabled through the JTAG interface signals. The TRST signal is optional in the IEEE 1149.1 specification but is provided on all processors that implement the Power Architecture technology. While the TAP controller can be forced to the reset state using only the TCK and TMS signals, more reliable power-on reset performance can be obtained if the TRST signal is asserted during power-on reset. Because the JTAG interface is also used for accessing the common on-chip processor (COP) function, simply tying TRST to HRESET is not practical. The COP function of these processors allows a remote computer system (typically, a PC with dedicated hardware and debugging software) to access and control the internal operations of the processor. The COP interface connects primarily through the JTAG, with additional status monitoring signals. The COP port must independently assert HRESET or TRST to control the processor. If the target system has independent
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 47
System Design
reset sources, such as voltage monitors, watchdog timers, power supply failures, or push-button switches, the COP reset signals must be merged into these signals with logic. The arrangement shown in Figure 26 allows the COP port to independently assert HRESET or TRST, while ensuring that the target can drive HRESET as well. If the JTAG interface and COP header will not be used, TRST should be tied to HRESET through a 0- isolation resistor so that it is asserted when the system reset signal (HRESET) is asserted, ensuring that the JTAG scan chain is initialized during power-on. Although Freescale recommends that the COP header be designed into the system as shown in Figure 26, if this is not possible, the isolation resistor will allow future access to TRST in the case where a JTAG interface may need to be wired onto the system in debug situations. The COP interface has a standard header for connection to the target system based on the 0.025" square-post, 0.100" centered header assembly (often called a Berg header). Typically, pin 14 is removed as a connector key. There is no standardized way to number the COP header shown in Figure 26. Consequently, different emulator vendors number the pins differently. Some pins are numbered top-to-bottom and left-to-right while others use left-to-right then top-to-bottom and still others number the pins counter clockwise from pin 1 (as with an IC). Regardless of the numbering, the signal placement recommended in Figure 26 is common to all known emulators.
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 48 Freescale Semiconductor
System Design
MPC8245 From Target Board Sources (if any) SRESET 5 HRESET 7 SRESET 5 HRST_CPU 10 k 10 k 10 k 10 k 08 4 6 5
2 3
HRST_CTRL OVDD OVDD OVDD OVDD TRST 7
13 11
COP_HRESET SRESET 5
COP_TRST VDD_SENSE 1 k 10 k 10 k 10 k CHKSTOP_IN 6 TMS TDO TDI TCK
1 3 5 7 9 11
2 4 6 8 10 12
OVDD OVDD OVDD OVDD CHKSTOP_IN 6 TMS TDO TDI TCK QACK 1
15 Key 14 4 COP Header 8 9 1 3 7 2 10 12 16
KEY 13 No pin 15 16
COP Connector Physical Pin Out
NC NC NC
Note: 1 QACK is an output and is not required at the COP header for emulation. 2 RUN/STOP normally found on pin 5 of the COP header is not implemented on the MPC8245. Connect pin 5 of the COP header to OVDD with a 1-k pull-up resistor. 3 CKSTP_OUT normally on pin 15 of the COP header is not implemented on the MPC8245. Connect pin 15 of the COP header to OVDD with a 10-k pull-up resistor. 4 Pin 14 is not physically present on the COP header. 5 SRESET functions as output SDMA12 in extended ROM mode. 6 CHKSTOP_IN functions as output SDMA14 in extended ROM mode. 7 The COP port and target board should be able to independently assert HRESET and TRST to the processor to control the processor as shown. 8 If the JTAG interface is implemented, connect HRESET from the target source to TRST from the COP header through an AND gate to TRST of the part. If the JTAG interface is not implemented, connect HRESET from the target source to TRST of the part through a 0- isolation resistor.
Figure 26. COP Connector Diagram
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 49
System Design
7.8
Thermal Management
This section provides thermal management information for the tape ball grid array (TBGA) package for air-cooled applications. Depending on the application environment and the operating frequency, heat sinks may be required to maintain junction temperature within specifications. Proper thermal control design primarily depends on the system-level design: the heat sink, airflow, and thermal interface material. To reduce the die-junction temperature, heat sinks can be attached to the package by several methods: adhesive, spring clip to holes in the printed-circuit board or package, or mounting clip and screw assembly. Figure 27 displays a package-exploded cross-sectional view of a TBGA package with several heat sink options.
Heat Sink Heat Sink Clip Adhesive or Thermal Interface Material Die TBGA Package
Printed-Circuit Board
Option
Figure 27. Package-Exploded Cross-Sectional View with Several Heat Sink Options
Figure 28 depicts the die junction-to-ambient thermal resistance for four typical cases: * A heat sink is not attached to the TBGA package, and there exists high board-level thermal loading from adjacent components. * A heat sink is not attached to the TBGA package, and there is low board-level thermal loading from adjacent components. * A heat sink (for example, ChipCoolers) is attached to the TBGA package, and there is high board-level thermal loading from adjacent components. * A heat sink (for example, ChipCoolers) is attached to the TBGA package, and there is low board-level thermal loading from adjacent components.
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 50 Freescale Semiconductor
System Design
18
Die Junction-to-Ambient Thermal Resistance (C/W)
16
No heat sink and high thermal board-level loading of adjacent components No heat sink and low thermal board-level loading of adjacent components Attached heat sink and high thermal board-level loading of adjacent components
14
Attached heat sink and low thermal board-level loading of adjacent components
12
10
8
6
4
2 0 0.5 1 1.5 Airflow Velocity (m/s) 2 2.5
Figure 28. Die Junction-to-Ambient Resistance
The board designer can choose between several types of heat sinks to place on the MPC8245. Several commercially-available heat sinks for the MPC8245 are provided by the following vendors: Aavid Thermalloy 603-224-9988 80 Commercial St. Concord, NH 03301 Internet: www.aavidthermalloy.com Alpha Novatech 408-749-7601 473 Sapena Ct. #15 Santa Clara, CA 95054 Internet: www.alphanovatech.com International Electronic Research Corporation (IERC) 818-842-7277 413 North Moss St. Burbank, CA 91502 Internet: www.ctscorp.com Tyco Electronics 800-522-6752 Chip CoolersTM P.O. Box 3668 Harrisburg, PA 17105-3668 Internet: www.chipcoolers.com
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 51
System Design
Wakefield Engineering 33 Bridge St. Pelham, NH 03076 Internet: www.wakefield.com
603-635-5102
Selection of an appropriate heat sink depends on thermal performance at a given air velocity, spatial volume, mass, attachment method, assembly, and cost. Other heat sinks offered by Aavid Thermalloy, Alpha Novatech, IERC, Chip Coolers, and Wakefield Engineering offer different heat sink-to-ambient thermal resistances and may or may not need airflow.
7.8.1
Internal Package Conduction Resistance
The intrinsic conduction thermal resistance paths for the TBGA cavity-down packaging technology shown in Figure 29 are as follows: * Die junction-to-case thermal resistance * Die junction-to-ball thermal resistance Figure 29 depicts the primary heat transfer path for a package with an attached heat sink mounted on a printed-circuit board.
External Resistance Radiation Convection
Heat Sink Thermal Interface Material Internal Resistance Die/Package Die Junction Package/Leads
Printed-Circuit Board
External Resistance
Radiation
Convection
(Note the internal versus external package resistance)
Figure 29. TBGA Package with Heat Sink Mounted to a Printed-Circuit Board
In a TBGA package, the active side of the die faces the printed-circuit board. Most of the heat travels through the die, across the die attach layer, and into the copper spreader. Some of the heat is removed from the top surface of the spreader through convection and radiation. Another percentage of the heat enters the printed-circuit board through the solder balls. The heat is then removed from the exposed surfaces of the board through convection and radiation. If a heat sink is used, a larger percentage of heat leaves through the top side of the spreader.
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 52 Freescale Semiconductor
System Design
7.8.2
Adhesives and Thermal Interface Materials
A thermal interface material placed between the top of the package and the bottom of the heat sink minimizes thermal contact resistance. For applications that attach the heat sink by a spring clip mechanism, Figure 30 shows the thermal performance of three thin-sheet thermal-interface materials (silicone, graphite/oil, floroether oil), a bare joint, and a joint with thermal grease as a function of contact pressure. As shown, the performance of these thermal interface materials improves with increasing contact pressure. Thermal grease significantly reduces the interface thermal resistance. That is, the bare joint offers a thermal resistance approximately seven times greater than the thermal grease joint. A spring clip attaches heat sinks to holes in the printed-circuit board (see Figure 30). Therefore, synthetic grease offers the best thermal performance, considering the low interface pressure. The selection of any thermal interface material depends on factors such as thermal performance requirements, manufacturability, service temperature, dielectric properties, and cost.
2
Silicone Sheet (0.006 in.) Bare Joint Floroether Oil Sheet (0.007 in.) Graphite/Oil Sheet (0.005 in.) Synthetic Grease
Specific Thermal Resistance (K-in.2/W)
1.5
1
0.5
0 0 10 20 30 40 50 60 70 80 Contact Pressure (psi)
Figure 30. Thermal Performance of Select Thermal Interface Material
The board designer can choose between several types of thermal interfaces. Heat sink adhesive materials are selected on the basis of high conductivity and adequate mechanical strength to meet equipment shock/vibration requirements. Several commercially-available thermal interfaces and adhesive materials are provided by the following vendors: Chomerics, Inc. 781-935-4850 77 Dragon Ct. Woburn, MA 01888-4014 Internet: www.chomerics.com
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 53
System Design
Dow-Corning Corporation Dow-Corning Electronic Materials 2200 W. Salzburg Rd. Midland, MI 48686-0997 Internet: www.dow.com Shin-Etsu MicroSi, Inc. 10028 S. 51st St. Phoenix, AZ 85044 Internet: www.microsi.com The Bergquist Company 18930 West 78th St. Chanhassen, MN 55317 Internet: www.bergquistcompany.com Thermagon Inc. 4707 Detroit Ave. Cleveland, OH 44102 Internet: www.thermagon.com
800-248-2481
888-642-7674
800-347-4572
888-246-9050
7.8.3
Heat Sink Usage
An estimation of the chip junction temperature, TJ, can be obtained from the equation: TJ = TA + (RJA x PD) where TA = ambient temperature for the package (C) RJA = junction-to-ambient thermal resistance (C/W) PD = power dissipation in the package (W) The junction-to-ambient thermal resistance is an industry-standard value that provides a quick and easy estimation of thermal performance. Unfortunately, two values are in common usage: the value determined on a single-layer board and the value obtained on a board with two planes. Which value is closer to the application depends on the power dissipated by other components on the board. The value obtained on a single-layer board is appropriate for the tightly packed printed-circuit board. The value obtained on the board with the internal planes is usually appropriate if the board has low power dissipation and the components are well separated. When a heat sink is used, the thermal resistance is expressed as the sum of a junction-to-case thermal resistance and a case-to-ambient thermal resistance: RJA = RJC + RCA where RJA = junction-to-ambient thermal resistance (C/W) RJC = junction-to-case thermal resistance (C/W) RCA = case-to-ambient thermal resistance (C/W)
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 54 Freescale Semiconductor
System Design
RJC is device-related and cannot be influenced by the user. The user controls the thermal environment to change the case-to-ambient thermal resistance, RCA. For instance, the user can change the size of the heat sink, the airflow around the device, the interface material, the mounting arrangement on the printed-circuit board, or the thermal dissipation on the printed-circuit board surrounding the device. To determine the junction temperature of the device in the application without a heat sink, the thermal characterization parameter (JT) measures the temperature at the top center of the package case using the following equation: TJ = TT + (JT x PD) where: TT = thermocouple temperature atop the package (C) JT = thermal characterization parameter (C/W) PD = power dissipation in package (W) The thermal characterization parameter is measured per JESD51-2 specification using a 40-gauge type T thermocouple epoxied to the top center of the package case. The thermocouple should be positioned so that the thermocouple junction rests on the package. A small amount of epoxy is placed over the thermocouple junction and over about 1 mm of wire extending from the junction. The thermocouple wire is placed flat against the package case to avoid measurement errors caused by cooling effects of the thermocouple wire. When a heat sink is used, the junction temperature is determined from a thermocouple inserted at the interface between the case of the package and the interface material. A clearance slot or hole is normally required in the heat sink. Minimizing the size of the clearance minimizes the change in thermal performance caused by removing part of the thermal interface to the heat sink. Because of the experimental difficulties with this technique, many engineers measure the heat sink temperature and then back-calculate the case temperature using a separate measurement of the thermal resistance of the interface. From this case temperature, the junction temperature is determined from the junction-to-case thermal resistance. In many cases, it is appropriate to simulate the system environment using a computational fluid dynamics thermal simulation tool. In such a tool, the simplest thermal model of a package that has demonstrated reasonable accuracy (about 20%) is a two-resistor model consisting of a junction-to-board and a junction-to-case thermal resistance. The junction-to-case covers the situation where a heat sink is used or a substantial amount of heat is dissipated from the top of the package. The junction-to-board thermal resistance describes the thermal performance when most of the heat is conducted to the printed-circuit board.
7.9
References
Semiconductor Equipment and Materials International 805 East Middlefield Rd. Mountain View, CA 94043 (415) 964-5111 MIL-SPEC and EIA/JESD (JEDEC) specifications are available from Global Engineering Documents at 800-854-7179 or 303-397-7956. JEDEC specifications are available on the web at http://www.jedec.org.
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 55
Document Revision History
8
Document Revision History
Table 19. Revision History Table
Table 19 provides a revision history for this hardware specification.
Revision 10
Date 8/07
Substantive Change(s) Section 3, Table 3, and Table 7--Changed format of recommended voltage supply values so that delta to the chosen nominal does not exceed 100 mV. Completely replaced Section 4.6 with compliant I2C specifications as with other related integrated processor devices. Document--Added Power Architecture information. Section 4.1--Changed increased absolute maximum range for VDD in Table 1. Updated format of nominal voltage listings in Table 2. Section 9.2--Removed Note 3 from Table 21. Updated back page information.
9
12/27/05
8
11/15/2005 Document--Imported new template and made minor editorial changes. Removed references to a 466 MHz part since it is not available for new orders. Section 4.3.2--Added paragraph for using DLL mode that provides lowest locked tap point read in 0xE3. Section 5.3--Updated the driver and I/O assignment information for the multiplexed PCI clock and DUART signals. Added note for HRST_CPU and HRST_CTRL, which had been mentioned only in Figure 2. Section 9.2--Updated the part ordering specifications for the extended temperature parts. Also updated the section to reflect what we offer for new orders. Section 9.3--Added new section, "Part Marking." Updated Figure 33 to match with current part marking format. 10/07/2004 Section 4.1.2--Table 2: Corrected range of AVDD and AVDD2. Section 9.1--Table 21: Corrected voltage range under Process Descriptor column. Minor reformatting. 05/24/2004 Section 4.5.3--Table 11: Spec 12b was improved from 4.5 ns to 4.0 ns. This improvement is guaranteed on devices marked after work week (WW) 28 of 2004. A device's work week may be determined from the "YYWW" portion of the devices trace ability code which is marked on the top of the device. So for WW28 in 2004, the device's YYWW is marked as 0428. For more information refer to Figure 33 05/11/2004 Section 4.1.2--Table 2: Corrected range of GVDD to 3.3 5%. Section 4.2.1--Table 4: Changed the default for drive strength of DRV_STD_MEM. Section 4.5.1--Table 8: Changed the wording description for item 15. Section 4.5.2--Table 10: Changed Tos range and wording in note; Figure 11:changed wording for SDRAM_SYNC_IN description relative to TOS. Section 4.5.3--Table 11: Changed timing specification for sys_logic_clk to output valid (memory control, address, and data signals). -- Section 4.3.1--Table 9: Corrected last row to state the correct description for the bit setting. Max tap delay, DLL extend. Figure 8: Corrected the label name for the DLL graph to state "DLL Locking Range Loop Delay vs. Frequency of Operation for DLL_Extend=1 and Normal Tap Delay"
7
6.1
6
5.1
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 56 Freescale Semiconductor
Document Revision History
Table 19. Revision History Table (continued)
Revision 5 Date -- Substantive Change(s) Section 4.1.2 -- Added note 6 and related label for latching of the PLL_CFG signals. Section 4.1.3 -- Updated specifications for the input high and input low voltages of PCI_SYNC_IN. Section 4.3 -- Table 7, updated specifications for the voltage range of VDD for specific CPU frequencies. Section 4.3.1 -- Table 8: Corrected typo for first number 1a to 1; Updated characteristics for the DLL lock range for the default and remaining three DLL locking modes; Reworded note description for note 6. Replaced contents of Table 9 with bit descriptions for the four DLL locking modes. In Figures 7 through 10, updated the DLL locking mode graphs. Section 4.3.2 -- Table 10: Changed the name of references for timing parameters from SDRAM_SYNC_IN to sys_logic_clk to be consistent with Figure 11. Followed the same change for note 2. Section 4.3.3-- Table 11: Changed the name of references for timing parameters from SDRAM_SYNC_IN to sys_logic_clk to be consistent with Figure 11. Followed the same change for note 2. Section 5.3 -- Table 17: Removed extra listing of DRDY in Test/Configuration signal list and updated relevant notes for signal in Memory Interface signal listing. Updated note #20. Added note 26 for the signals of the UART interface. Section 7.6 -- Added reference to AN2128 application note that highlights the differences between the MPC8240 and the MPC8245. Section 7.7 -- Added relevant notes to this section and updated Figure 29. Section 1.4.1.2--Updated notes for GVDD, AVDD, AVDD2. Section 1.5.1--Updated solder ball information to include lead-free (V V) balls. Section 1.5.3--Updated Note 25 for QACK/DA0 signal. Added a sentence to Note 3. Section 1.6 --Incorporated Note 19 into Note 12 and modified Tables 18 and 19 accordingly. Section 1.9--Updated part marking nomenclature where appropriate to include the lead-free offering. Replaced reference to PNS document MPC8245RZUPNS with MPC8245ARZUPNS. Section 1.4.1.2--Figure 2: Updated Note 2 and removed `voltage regulator delay' label since Section 1.7.2 is being deleted this revision. Added Figures 4 and 5 to show voltage overshoot and undershoot of the PCI interface on the MPC8245. Section 1.4.1.3--Table 3: Updated the maximum input capacitance from 7 to 16 pF based on characterization data. Section 1.4.3.1--Updated PCI_SYNC_IN jitter specifications to 200 ps. Section 1.4.3.3--Table 11, item 12b: added the word `address' to help clarify which signals the spec applies to. Figure 15: edited timing for items 12a0 and 12a2 to correspond with Table 11. Section 1.5.3--Updated notes for the QACK/DA0 signal because this signal has been found to have no internal pull resistor. Section 1.6--Corrected note numbers for reference numbers 3,10,1B, and 1C of the PLL tables. Updated PLL specifications for modes 7 and 1E. Section 1.7.2--Removed this section since the information already exists in Section 1.4.1.5. Section 1.7.4--Added the words `the clamping voltage' to describe LVDD in the sixth paragraph. Changed the QACK/DA0 signal from the list of signals having an internal pull-up resistor to the list of signals needing a weak pull-up resistor to OVDD. Section 1.9.1--Tables 21 thru 23: Added processor version register value.
4
--
3
--
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 57
Document Revision History
Table 19. Revision History Table (continued)
Revision 2 Date -- Substantive Change(s) Globally changed EPIC to PIC. Section 1.4.1.4--Note 5: Changed register reference from 0x72 to 0x73. Section 1.4.1.5--Table 5: Updated power dissipation numbers based on latest characterization data. Section 1.4.2--Table 6: Updated table to show more thermal specifications. Section 1.4.3--Table 7: Updated minimum memory bus value to 50 MHz. Section 1.4.3.1--Changed equations for DLL locking range based on characterization data. Added updates and reference to AN2164 for note 6. Added table defining Tdp parameters. Labeled N value in Figures 5 through 8. Section 1.4.3.2--Table 10: Changed bit definitions for tap points. Updated note on Tos and added reference to AN2164 for note 7. Updated Figure 9 to show significance of Tos. Section 1.4.3.4--Added column for SDRAM_CLK @ 133 MHz Sections 1.5.1 and 1.5.2--Corrected packaging information to state TBGA packaging. Section 1.5.3--Corrected some signals in Table 16 which were missing overbars in the Rev 1.0 release of the document. Section 1.6--Updated Note 10 of Tables 18 and 19. Section 1.7.3--Changed sentence recommendation regarding decoupling capacitors. Section 1.9--Updated format of tables in Ordering Information section. Updated document template. Section 1.4.1.4--Changed the driver type names in Table 6 to match with the names used in the MPC8245 Reference Manual. Section 1.5.3--Updated driver type names for signals in Table 16 to match with names used in the MPC8245 Integrated Processor Reference Manual. Section 1.4.1.2--Updated Table 7 to refer to new PLL Tables for VCO limits. Section 1.4.3.3--Added item 12e to Table 10 for SDRAM_SYNC_IN to Output Valid timing. Section 1.5.1--Updated solder balls information to 62Sn/36PB/2Ag. Section 1.6--Updated PLL Tables 17 and 18 and appropriate notes to reflect changes of VCO ranges for memory and CPU frequencies. Section 1.7--Updated voltage sequencing requirements in Table 2 and removed Section 1.7.2. Section 1.7.8--Updated TRST information and Figure 26. New Section 1.7.2--Updated the range of I/O power consumption numbers for OVDD and GVDD to correct values as in Table 5. Updated fastest frequency combination to 66:100:350 MHz. Section 1.7.9--Updated list for heat sink and thermal interface vendors. Section 1.9--Changed format of Ordering Information section. Added tables to reflect part number specifications also available. Added Sections 1.9.2 and 1.9.3. Corrected labels for Figures 5 through 8.
1
--
0.5
--
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 58 Freescale Semiconductor
Document Revision History
Table 19. Revision History Table (continued)
Revision 0.4 Date -- Substantive Change(s) Section 1.2--Changed Features list (format) to match with the features list of the MPC8245 Integrated Processor Reference Manual. Section 1.4.1.2--Updated Table 2 to include 1.8 100mV numbers. Section 1.4.3--Changed Table 7 to include new part offerings of 333 and 350 MHz. Added rows to include VCO frequency ranges for all parts for both memory VCO and CPU VCO. Section 1.4.1.5--Updated power consumption table to include 1.8 V (VDD) and higher frequency numbers. Section 1.4.3--Updated Table 7 to include higher frequency offerings and CPU VCO frequency range. Section 1.4.3.1--Changed lettering to caps for DLL_EXTEND and DLL_MAX_DELAY in graph description section. Section 1.4.3.2--Changed name of item 11 from Tsu--SDRAM_SYNC_IN to PCI_SYNC_IN Time to Tos--SDRAM_SYNC_IN to sys_logic_clk Offset Time. Changed name to Tos in Note 7 as well. Section 1.6--Updated notes in Table 17. Included minimum and maximum VCO numbers for memory VCO. Changed Note 13 for location of PLL_CFG[0:4] to correct bits location. Bits 7-4 of register offset <0xE2>. Added Table 18 to cover PLL configuration of higher frequency part offerings. Section: 1.7--Changed frequency ranges for reference numbers 0, 9, 10, and 17, for the 300-MHz part to include the higher memory bus frequencies when operating at lower CPU bus frequencies. Added Table 18 to include PLL configurations for the 333 MHz and the 350 MHz CPU part offerings. Added VCO multipliers in Tables 17 and 18. Section 1.7.8--Changed Tsu--SDRAM_SYNC_IN to PCI_SYNC_IN Time to Tos--SDRAM_ SYNC_IN to sys_logic_clk Offset Time." Section 1.7.10--Added vendor (Cool Innovations, Inc.) to list of heat sink vendors. Section 1.4.1.5--Changed Max-FP value for 33/133/266 of Table 5 from 2.3 to 2.1 watts to represent characterization data. Changed Note 4 to say VDD = 2.1 for power measurements (for 2-V part). Changed numbers for maximum I/O power supplies for OVDD and GVDD to represent characterization data. Section 1.4.3.1--Added four graphs (Figures 5-8) and description for DLL Locking Range vs. Frequency of Operation to replace Figure 5 of Rev 0.2 document. Section 1.4.3.2--Added row (item 11: Tsu--SDRAM_SYNC_IN to PCI_SYNC_IN timing) to Table 9 to include offset change requirement. Section 1.5.3--Changed Note 4 of PLL_CFG pins in Table 16 to Note 20. Section 1.7.2--Added diode (MUR420) to Figure 27, Voltage Sequencing Circuit, to compensate for voltage extremes in design. Section 1.7.5--Added sentence with regards to SDRAM_SYNC_IN to PCI_SYNC_IN timing requirement (Tsu) as a connection recommendation. Section 1.7.8--Mention of Tsu offset timing and driver capability differences between the MPC8240 and the MPC8245.
0.3
--
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 59
Document Revision History
Table 19. Revision History Table (continued)
Revision 0.2 Date -- Substantive Change(s) Changed core supply voltage to 2.0 100 mV in Section 1.3. (Supply voltage of 1.8 100 mV is no longer recommended.) Changed rows 2, 5, and 6 of Table 2 to 2.0 100 mV in the "Recommended Value" column. Changed the power consumption numbers in Table 5 to reflect the power values for VDD = 2.0 V. (Notes 2, 3, 4, and 5 of the table were also updated to reflect the new value of VDD.) Updated Table 9 for VDD/AV DD/AVDD2 to 2.0 100 mV. Table 8: VDD/AVDD/AVDD2 was changed to 2.0 V for both CPU frequency offerings. Note 2 was updated by removing the "at reduced voltage..." statement. Table 10: Update maximum time of the rows 12a0 through 12a3. Table 16: Fixed overbars for the active-low signals. Changed pin type information for VDD, AV DD, and AVDD2 to 2.0 V. Changed Note 16 of Table 17 to a value of 2.0 V for VDD/AVDD/AVDD2. Removed second sentence of the second paragraph in Section 1.7.2 because it referenced information about a 1.8-V design. Removed reference to 1.8 V in third sentence of Section 1.7.7.
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 60 Freescale Semiconductor
Document Revision History
Table 19. Revision History Table (continued)
Revision 0.1 Date -- Substantive Change(s) Made VDD/AVDD/AVDD2 = 1.8 V 100 mV information for 133-MHz memory interface operation to Section 1.3, Table 2, Table 5, Table 9, Table 17, and Section 1.7.2. Pin D17, formerly LAVDD (supply voltage for DLL), is a NC on the MPC8245 since the DLL voltage is supplied internally. Eliminated all references to LAVDD; updated Section 1.7.1. Previous Note 4 of Table 2 did not apply to the MPC8245 (MPC8240 document legacy). New Note 4 added in reference to maximum CPU speed at reduced VDD voltage. Updated the Programmable Output Impedance of DEV_MEM_ADDR in Table 4 to 6 to reflect characterization data. Updated Table 5 to reflect reduced power consumption when operating VDD/AVDD/AV DD2 = 1.8 V 100 mV. Changed Notes 2, 3, and 4 to reflect VDD at 1.9 V. Changed Note 5 to represent VDD = AVDD = 1.8 V. Updated Table 7 to reflect VDD/AV DD/AVDD2 voltage level operating frequency dependencies; changed 250 MHz device column to 266 MHz; modified Note 1 eliminating VCO references; added Note 2. Changed 250 MHz processor frequency offering to 266 MHz. Changed Spec 12b for memory output valid time in Table 11 from 5.5 ns to 4.5 ns; this is a key specification change to enable 133-MHz memory interface designs. Updated Pinout Table 16 with the following changes: * Pin types for RCS0, RCS3/TRIG_OUT and DA[11:15] were erroneously listed as I/O, changed Pin Types to Output. * Pin types for REQ4/DA4, RCS2/TRIG_IN, and PLL_CFG[0:4]/DA[10:6] were erroneously listed as Input, changed Pin Types to I/O. * Changed Pin D17 from LAVDD to No Connect; deleted Note 21 and references. * Notes 3, 5, and 7 contained references to the MPC8240 (MPC8240 document legacy); changed these references to MPC8245. * Previous Notes 13 and 14 did not apply to the MPC8245 (MPC8240 document legacy), these notes were deleted; moved Note 19 to become new Note 13; moved Note 20 to become new Note 14; updated associated references. * Added Note 3 to SDMA[1:0] signals about internal pull-up resistors during reset state. * Reversed vector ordering for the PCI Interface Signals: C/BE[0:3] changed to C/BE[3:0], AD[0:31] changed to AD[31:0], GNT[0:3] changed to GNT[3:0], and REQ[0:3] changed to REQ[3:0]. The package pin number orderings were also reversed meaning that pin functionality did NOT change. For example, AD0 is still on signal C22, AD1 is still on signal D22,..., AD31 is still on signal V25. This change was made to make the vectored PCI signals in this hardware specification consistent with the PCI Local Bus Specification and the MPC8245 Integrated Processor Reference Manual vector ordering. * Changed TEST1/DRDY signal on pin B20 to DRDY. * Changed TEST2 signal on pin Y2 to RTC for performance monitor use. Updated PLL Table 17 with the following changes for 133-MHz memory interface operation: * Added Ref. 9 (01001) and Ref. 17 (10111) details; removed these settings from Note 10 (reserved settings list). * Enhanced range of Ref. 10 (10000). * Updated Note 13, changed bits 16-20 erroneous information to correct bits 23-19. * Added Notes 16 and 17. Added information to Section 1.7.8 in reference to CHKSTOP_IN and SRESET being unavailable in extended ROM mode. Initial release.
0.0
--
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 61
Ordering Information
9
Ordering Information
Ordering information for the parts fully covered by this specification document is provided in Section 9.1, "Part Numbers Fully Addressed by This Document." Section 9.2, "Part Numbers Not Fully Addressed by This Document," lists the part numbers that do not fully conform to the specifications of this document. These special part numbers require an additional document called a hardware specifications addendum.
9.1
Part Numbers Fully Addressed by This Document
Table 20 provides the Freescale part numbering nomenclature for the MPC8245. Note that the individual part numbers correspond to a maximum processor core frequency. For available frequencies, contact a local Freescale sales office. In addition to the processor frequency, the part numbering scheme also includes an application modifier that may specify special application conditions. Each part number also contains a revision code that refers to the die mask revision number. The revision level can be determined by reading the Revision ID register at address offset 0x08.
Table 20. Part Numbering Nomenclature
MPC
Product Code MPC
nnnn
Part Identifier 8245
L
Process Descriptor
xx
Package 1
nnn
Processor Frequency 2 (MHz)
x
Revision Level Processor Version Register Value 0x80811014
L: 0 to 105C
ZU = TBGA V V = Lead-free TBGA ZU = TBGA V V = Lead-free TBGA
266, 300 D:1.4 Rev ID:0x14 1.7 V to 2.1 V
L: 0 to 105C
333, 350 1.9 V to 2.2 V
Notes: 1. See Section 5, "Package Description," for more information on available package types. 2. Processor core frequencies supported by parts addressed by this specification only. Not all parts described in this specification support all core frequencies. Additionally, parts addressed by a hardware specifications addendum may support other maximum core frequencies.
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 62 Freescale Semiconductor
Ordering Information
9.2
Part Numbers Not Fully Addressed by This Document
Parts with application modifiers or revision levels not fully addressed in this specification document are described in separate part number specifications that supplement and supersede this document. Table 21 shows the part numbers addressed by the MPC8245TXXnnnx series. The revision level can be determined by reading the Revision ID register at address offset 0x08.
Table 21. Part Numbers Addressed by MPC8245TXXnnnx Series Part Number Specification Markings (Document Order No. MPC8245ECS01AD)
MPC
Product Code MPC
nnnn
Part Identifier 8245
X
Process Descriptor T: -40 to 105C
xx
Package 1
nnn
Processor Frequency 2
x
Revision Level Processor Version Register Value 0x80811014
ZU = TBGA V V= Lead-free TBGA
266 MHz, 300 MHz: D:1.4 Rev ID:0x14 1.7 V to 2.1 V 333 MHz, 350 MHz: 1.9 V to 2.2 V
Notes: 1. See Section 5, "Package Description," for more information on available package types. 2. Processor core frequencies supported by parts addressed by this specification only. Not all parts described in this specification support all core frequencies. Additionally, parts addressed by a hardware specifications addendum may support other maximum core frequencies.
Table 22 shows the part numbers addressed by the MPC8245ARZUnnnx series.
Table 22. Part Numbers Addressed by MPC8245ARZUnnnx Series Part Number Specification Markings (Document Order No. MPC8245ECS02AD)
MPC
Product Code
nnnn
Part Identifier
X
Process 3 Identifier
X
Process Descriptor
xx
Package
1
nnn
Processor Frequency 2
x
Revision Level Processor Version Register Value 0x80811014
MPC
8245
A
R: 0 to 85C
ZU = TBGA V V= Lead-free TBGA
400 MHz 2.1 V 100 mV
D:1.4 Rev ID:0x14
Notes: 1. See Section 5, "Package Description," for more information on available package types. 2. Processor core frequencies supported by parts addressed by this specification only. Not all parts described in this specification support all core frequencies. Additionally, parts addressed by a hardware specifications addendum may support other maximum core frequencies. 3. Process identifier `A' represents parts that are manufactured under a 29-angstrom process verses the original 35-angstrom process.
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 63
Ordering Information
9.3
Part Marking
Parts are marked as the example shown in Figure 31.
MPC8245LXXnnnx ATWLYYWW CCCCC
MMMMM
YWWLAZ
Notes: MMMMM is the 5-digit mask number. ATWLYYWW is test traceability code. YWWLAZ is the assembly traceability code. CCCCC is the country code.
Figure 31. Part Marking for TBGA Device
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 64 Freescale Semiconductor
Ordering Information
THIS PAGE INTENTIONALLY LEFT BLANK
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 65
Ordering Information
THIS PAGE INTENTIONALLY LEFT BLANK
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 66 Freescale Semiconductor
Ordering Information
THIS PAGE INTENTIONALLY LEFT BLANK
MPC8245 Integrated Processor Hardware Specifications, Rev. 10 Freescale Semiconductor 67
How to Reach Us:
Home Page: www.freescale.com Web Support: http://www.freescale.com/support USA/Europe or Locations Not Listed: Freescale Semiconductor, Inc. Technical Information Center, EL516 2100 East Elliot Road Tempe, Arizona 85284 +1-800-521-6274 or +1-480-768-2130 www.freescale.com/support Europe, Middle East, and Africa: Freescale Halbleiter Deutschland GmbH Technical Information Center Schatzbogen 7 81829 Muenchen, Germany +44 1296 380 456 (English) +46 8 52200080 (English) +49 89 92103 559 (German) +33 1 69 35 48 48 (French) www.freescale.com/support Japan: Freescale Semiconductor Japan Ltd. Headquarters ARCO Tower 15F 1-8-1, Shimo-Meguro, Meguro-ku Tokyo 153-0064 Japan 0120 191014 or +81 3 5437 9125 support.japan@freescale.com Asia/Pacific: Freescale Semiconductor Hong Kong Ltd. Technical Information Center 2 Dai King Street Tai Po Industrial Estate Tai Po, N.T., Hong Kong +800 2666 8080 support.asia@freescale.com For Literature Requests Only: Freescale Semiconductor Literature Distribution Center P.O. Box 5405 Denver, Colorado 80217 +1-800 441-2447 or +1-303-675-2140 Fax: +1-303-675-2150 LDCForFreescaleSemiconductor @hibbertgroup.com
Information in this document is provided solely to enable system and software implementers to use Freescale Semiconductor products. There are no express or implied copyright licenses granted hereunder to design or fabricate any integrated circuits or integrated circuits based on the information in this document. Freescale Semiconductor reserves the right to make changes without further notice to any products herein. Freescale Semiconductor makes no warranty, representation or guarantee regarding the suitability of its products for any particular purpose, nor does Freescale Semiconductor assume any liability arising out of the application or use of any product or circuit, and specifically disclaims any and all liability, including without limitation consequential or incidental damages. "Typical" parameters which may be provided in Freescale Semiconductor data sheets and/or specifications can and do vary in different applications and actual performance may vary over time. All operating parameters, including "Typicals" must be validated for each customer application by customer's technical experts. Freescale Semiconductor does not convey any license under its patent rights nor the rights of others. Freescale Semiconductor products are not designed, intended, or authorized for use as components in systems intended for surgical implant into the body, or other applications intended to support or sustain life, or for any other application in which the failure of the Freescale Semiconductor product could create a situation where personal injury or death may occur. Should Buyer purchase or use Freescale Semiconductor products for any such unintended or unauthorized application, Buyer shall indemnify and hold Freescale Semiconductor and its officers, employees, subsidiaries, affiliates, and distributors harmless against all claims, costs, damages, and expenses, and reasonable attorney fees arising out of, directly or indirectly, any claim of personal injury or death associated with such unintended or unauthorized use, even if such claim alleges that Freescale Semiconductor was negligent regarding the design or manufacture of the part.
FreescaleTM and the Freescale logo are trademarks of Freescale Semiconductor, Inc. The Power Architecture and Power.org word marks and the Power and Power.org logos and related marks are trademarks and service marks licensed by Power.org. The described product contains a PowerPC processor core. The PowerPC name is a trademark of IBM Corp. and used under license. IEEE 1149.1 is a registered trademark of the Institute of Electrical and Electronics Engineers, Inc. (IEEE). This product is not endorsed or approved by the IEEE. TUNDRA, the Tundra logo, Tsi107, and Silicon Behind the Network are all trademarks of Tundra Semiconductor Corporation. All other product or service names are the property of their respective owners.
(c) Freescale Semiconductor, Inc., 2001-2007. All rights reserved.
Document Number: MPC8245EC Rev. 10 08/2007


▲Up To Search▲   

 
Price & Availability of MPC824507

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X